The Designer's Guide Community Forum
https://designers-guide.org/forum/YaBB.pl
Simulators >> AMS Simulators >> mixed system simulation in cadence
https://designers-guide.org/forum/YaBB.pl?num=1444329369

Message started by jovial on Oct 8th, 2015, 11:36am

Title: mixed system simulation in cadence
Post by jovial on Oct 8th, 2015, 11:36am

hello all !!!
I want to simulate a circuit in "config" mode which has the following:
1) PMOS and NMOS from UMC_180nm library
2) a ideal comparator from ahdl library
3) a block whose functionality is written in verilog
4) an opamp using MOSs

When i am simulating it  using "ams" simulator , it is showing the following errors:


ncelab: *E,CUCFUN: instance 'M1' of the unit 'p_18_mm' is unresolved in 'new_afe_bunu.switch:schematic'.
ncelab: *E,CUCFUN: instance 'M0' of the unit 'n_18_mm' is unresolved in 'new_afe_bunu.not:schematic'.
ncelab: *E,CUCFUN: instance 'M1' of the unit 'p_18_mm' is unresolved in 'new_afe_bunu.not:schematic'.
ncelab: *E,CUCFUN: instance 'M0' of the unit 'n_18_mm' is unresolved in 'new_afe_bunu.switch:schematic'.
ncelab: *E,CUCFUN: instance 'M1' of the unit 'p_18_mm' is unresolved in 'new_afe_bunu.switch:schematic'.
ncelab: *E,CUCFUN: instance 'M0' of the unit 'n_18_mm' is unresolved in 'new_afe_bunu.not:schematic'.
ncelab: *E,CUCFUN: instance 'M1' of the unit 'p_18_mm' is unresolved in 'new_afe_bunu.not:schematic'.
ncelab: *F,MAXERR: maximum error count reached (50).
ncelab: Memory Usage - 23.6M

Cn anyone help me how to run my simulation??????

Title: Re: mixed system simulation in cadence
Post by AMS_ei on Jan 18th, 2017, 8:49am

Hi,

You may not include the technology library files.

Thank you.

Kind regards

The Designer's Guide Community Forum » Powered by YaBB 2.2.2!
YaBB © 2000-2008. All Rights Reserved.