The Designer's Guide Community
Forum
Welcome, Guest. Please Login or Register. Please follow the Forum guidelines.
Apr 20th, 2024, 8:24am
Pages: 1
Send Topic Print
interface MSHDL-(low level analog)? (Read 2799 times)
Denis
Guest




interface MSHDL-(low level analog)?
Oct 05th, 2005, 5:37am
 
Help me!
Get me answer for sensitive issue. How can I connect VHDL-AMS/Verilog-AMS electrical/logical terminal to low level (transistor) analog circuitry for Cadence AMS Designer Environment. Please, give me advice for all cases.
Back to top
 
 
  IP Logged
Andrew Beckett
Senior Fellow
******
Offline

Life, don't talk to
me about Life...

Posts: 1742
Bracknell, UK
Re: interface MSHDL-(low level analog)?
Reply #1 - Oct 5th, 2005, 7:28am
 
Do not post the same question multiple times in different places. Also, see my answer in http://www.designers-guide.org/Forum/?board=ms_design;action=display;num=1128515...

Regards,

Andrew.
Back to top
 
 
View Profile WWW   IP Logged
Pages: 1
Send Topic Print
Copyright 2002-2024 Designer’s Guide Consulting, Inc. Designer’s Guide® is a registered trademark of Designer’s Guide Consulting, Inc. All rights reserved. Send comments or questions to editor@designers-guide.org. Consider submitting a paper or model.