The Designer's Guide Community
Forum
Welcome, Guest. Please Login or Register. Please follow the Forum guidelines.
Mar 28th, 2024, 11:41pm
Pages: 1
Send Topic Print
No symbol view when modeling a cell with VHDL-AMS in cadence (Read 6765 times)
Parisa
New Member
*
Offline



Posts: 2
University of British Columbia
No symbol view when modeling a cell with VHDL-AMS in cadence
Sep 14th, 2009, 12:03pm
 
Hi,

I am working in analog-mixed signal field and want to create a symbol view for a non-linear load which I have it's code in VHDL-AMS. The VHDL-AMS code runs properly in Simplorer. Anyhow, I have problem with Cadence.  
Would you please help me with the steps that I should do ? I do as follows: 1) file-->new:cell view, 2)Tool: VHDL-AMS editor, view name: entity
3)it brings a terminal-like page that I should insert the VHDL-AMS code in. after saving and quiting that page (with :wq command), it asks if I want to creat a symbol for that and when I click on "yes" , nothing happens !!! So, I can't see any symbol view when I open a schematic and go for the instances.

Do you have any idea why is that  and how can I solve the problem?

Thank you very much,
Parisa
Back to top
 
 
View Profile   IP Logged
godfather
Community Member
***
Offline



Posts: 36

Re: No symbol view when modeling a cell with VHDL-AMS in cadence
Reply #1 - Sep 14th, 2009, 4:59pm
 
hello parisa,
Ok the code for vhdl-ams remains the same whichever tool you use just change the use... library... lines accordingly see some examples of vhdl-ams in cadence directory and then probably what you did creating a symbol and so on....thats perfect, after that you should get symbol view.

the reason why i am telling to change the 1st few include,use... library... lines are different for different softwares like simplorer, systemvision and so on....

the terminal like window which comes up is an editor, you can use nedit editior which is just like notepad, just include the default editor as nedit in your bash file thats it...

thx....


Back to top
 
 
View Profile   IP Logged
Parisa
New Member
*
Offline



Posts: 2
University of British Columbia
Re: No symbol view when modeling a cell with VHDL-AMS in cadence
Reply #2 - Sep 15th, 2009, 6:01pm
 
:( it doesn't work Sad
The code is very simple. Would you tell me what are the common libraries ? Mine is like this now:

LIBRARY ieee;
LIBRARY std;

USE IEEE.ELECTRICAL_SYSTEMS.all;
USE IEEE.FUNDAMENTAL_CONSTANTS.all;
USE IEEE.std_logic_1164.all;
USE IEEE.MATH_REAL.all;
USE IEEE.mechanical_systems.all;

Thanks,
Parisa
Back to top
 
 
View Profile   IP Logged
Andrew Beckett
Senior Fellow
******
Offline

Life, don't talk to
me about Life...

Posts: 1742
Bracknell, UK
Re: No symbol view when modeling a cell with VHDL-AMS in cadence
Reply #3 - Jan 5th, 2010, 1:12pm
 
Several questions:

1. Do you have something like this in your cds.lib:

SOFTINCLUDE $IUSHOME/tools/inca/files/IEEE_vhdlams/cds.lib

This is needed to get the AMS-flavour of the IEEE libraries.

2. Do you have the IUS installation in your UNIX path? If so, which IUS version are you using? (type "ncsim -version" in a UNIX terminal)

3. Which IC version are you using (look at the Help->About in the CIW)?

A quick experiment with the above setup (I was using IUS82) showed nothing wrong...

Were there any messages shown in the CIW when "nothing happened"?

Regards,

Andrew.

Back to top
 
 
View Profile WWW   IP Logged
Pages: 1
Send Topic Print
Copyright 2002-2024 Designer’s Guide Consulting, Inc. Designer’s Guide® is a registered trademark of Designer’s Guide Consulting, Inc. All rights reserved. Send comments or questions to editor@designers-guide.org. Consider submitting a paper or model.