The Designer's Guide Community
Forum
Welcome, Guest. Please Login or Register. Please follow the Forum guidelines.
Apr 19th, 2024, 7:57pm
Pages: 1
Send Topic Print
Generate a Phase Shifted Clock (Read 157 times)
bhavuli
Junior Member
**
Offline



Posts: 11

Generate a Phase Shifted Clock
Dec 22nd, 2009, 12:23pm
 
Hi Folks,

Does anyone know a simple way (using digital logic) that has an input a periodic clock and the outputs are:

(1) a 180 degree phase shifted clock

(2) a 0 degree phase shifted clock so that it is in phase with (1).

Thanks!
Back to top
 
 
View Profile   IP Logged
raja.cedt
Senior Fellow
******
Offline



Posts: 1516
Germany
Re: Generate a Phase Shifted Clock
Reply #1 - Dec 22nd, 2009, 8:22pm
 
hi,
  use DLL which can give better phase accuracy or else if you have any phase interpolator get the corresponding code to get desired pahse delay.

Thanks,
Rajasekhar.
Back to top
 
 
View Profile WWW raja.sekhar86   IP Logged
loose-electron
Senior Fellow
******
Offline

Best Design Tool =
Capable Designers

Posts: 1638
San Diego California
Re: Generate a Phase Shifted Clock
Reply #2 - Dec 22nd, 2009, 9:49pm
 
yeah it's called an inverter...

If the phase accuracy isn't critical one inverter and you are done. If the problem is more demanding than that, you need to specify a bit more what you want.
Back to top
 
 

Jerry Twomey
www.effectiveelectrons.com
Read My Electronic Design Column Here
Contract IC-PCB-System Design - Analog, Mixed Signal, RF & Medical
View Profile WWW   IP Logged
raja.cedt
Senior Fellow
******
Offline



Posts: 1516
Germany
Re: Generate a Phase Shifted Clock
Reply #3 - Dec 23rd, 2009, 4:46am
 
hi,
 you have specify how much ppm of shift you can tolerate across monte..otherwiese presise 180 how you will get?

Thanks,
Rajasekhar.
Back to top
 
 
View Profile WWW raja.sekhar86   IP Logged
analog_rf
Junior Member
**
Offline



Posts: 15
Universe
Re: Generate a Phase Shifted Clock
Reply #4 - Dec 26th, 2014, 3:44am
 
I know this is an old post. Iam currently trying to generate a mult phase clock. Trying to us a PI (two inverters with output shorted).Any help on how to go about trimming this?My clock frequency is 2G.Currently able to reach 5ps within target across process and 5ps across monte.Any help will be appreciated.
Back to top
 
 
View Profile   IP Logged
loose-electron
Senior Fellow
******
Offline

Best Design Tool =
Capable Designers

Posts: 1638
San Diego California
Re: Generate a Phase Shifted Clock
Reply #5 - Jan 19th, 2015, 1:29pm
 
create a frequency multiplier PLL and reference it to a lower frequency crystal.
Back to top
 
 

Jerry Twomey
www.effectiveelectrons.com
Read My Electronic Design Column Here
Contract IC-PCB-System Design - Analog, Mixed Signal, RF & Medical
View Profile WWW   IP Logged
RobG
Community Fellow
*****
Offline



Posts: 569
Bozeman, MT
Re: Generate a Phase Shifted Clock
Reply #6 - Feb 10th, 2015, 6:59pm
 
loose-electron wrote on Dec 22nd, 2009, 9:49pm:
yeah it's called an inverter...

Ha, that is what I was thinking. In addition, to line up the non-inverted signal run it through an "on" t-gate with the same sized devices as the inverter. See figure 12.18 of the switched cap chapter of Razavi's book.

When I first saw it I figured it was an horrible hack, but it actually works well to align the clock and clock-bar signals. Not sure if it will get you 5 ps, but it is a trick worth remembering to get you close. I suppose you could trim the tgate delay by trimming the gate drive. I don't understand the PI cricuit described by analog_rf.

Back to top
 
 
View Profile   IP Logged
loose-electron
Senior Fellow
******
Offline

Best Design Tool =
Capable Designers

Posts: 1638
San Diego California
Re: Generate a Phase Shifted Clock
Reply #7 - Feb 15th, 2015, 1:56pm
 
For the 2G frequency mentioned I would suggest getting complementary signals directly off of the oscillator. Most structures can create a CK, N_CK scenario at the inverter.
Back to top
 
 

Jerry Twomey
www.effectiveelectrons.com
Read My Electronic Design Column Here
Contract IC-PCB-System Design - Analog, Mixed Signal, RF & Medical
View Profile WWW   IP Logged
Mehdi Abderezai
New Member
*
Offline



Posts: 3

Re: Generate a Phase Shifted Clock
Reply #8 - Feb 24th, 2015, 11:25am
 
I use cross coupled differential circuit, you can invert the input  and delay match it with a TGate to drive the two diffpair inputs, then buffer out the output. Parasitic matching in important in your layout, also fast rise and fall time with weak load devices in your diff.

Duty Cycle might get F-ed up tho. but you will be almost 100% phase shifted at 0 and 180 with the two outputs.

Back to top
 
 
View Profile   IP Logged
Pages: 1
Send Topic Print
Copyright 2002-2024 Designer’s Guide Consulting, Inc. Designer’s Guide® is a registered trademark of Designer’s Guide Consulting, Inc. All rights reserved. Send comments or questions to editor@designers-guide.org. Consider submitting a paper or model.