The Designer's Guide Community
Forum
Welcome, Guest. Please Login or Register. Please follow the Forum guidelines.
Apr 25th, 2024, 1:43pm
Pages: 1
Send Topic Print
Converting a VHDL code to VHDL-AMS for simulation in Analog Design Environment. (Read 4362 times)
pkd
Junior Member
**
Offline



Posts: 25
India
Converting a VHDL code to VHDL-AMS for simulation in Analog Design Environment.
May 31st, 2010, 2:46pm
 
Hi all,
I have a VHDL code which I have simulated in modelsim and also synthesized in Xilinx board. But Now I need to put that entire code (The top one has 3 sub-modules in VHDL) into analog design environment to test out the characteristics of the overall system with the other analog blocks.
This is the first time I am trying to simulate an HDL code in ADE, so have very little idea about the flow. So, I would appreciate a bit of hints on how should I go about it in terms of various tools flow. I am having IUS82 tool. I have read the Verilog AMS guide in this comunity and successfully simulated the components like Flip-flops, AND gates ADC etc, but I didn't find any example there where a chunk of VHDL code of considerable magnitude being compiled in VerilogAMS and incorporated in ADE.
I have attached the code for one of my modules as a sample.
Waiting desperately for some useful hints/insights/suggestions/guidance.   Smiley
Back to top
 
 
View Profile   IP Logged
Marq Kole
Senior Member
****
Offline

Hmmm. That's
weird...

Posts: 122
Eindhoven, The Netherlands
Re: Converting a VHDL code to VHDL-AMS for simulation in Analog Design Environment.
Reply #1 - Jul 5th, 2010, 7:58am
 
If you want to work the analog way: Import the VHDL code into your environment using File -> Import -> VHDL from the CIW. That will give you a library with the VHDL block. You can then instantiate the VHDL block in your schematic. If you have selected the proper connect rules (similar to Verilog-AMS or Verilog examples) you can run your simulation from either ADE or the Hierarchy Editor using the AMS plugin.

Marq
Back to top
 
 
View Profile   IP Logged
jerome_ams
Community Member
***
Offline



Posts: 36

Re: Converting a VHDL code to VHDL-AMS for simulation in Analog Design Environment.
Reply #2 - Oct 26th, 2010, 8:14am
 
Basically, there is no need to "convert" your VHDL code. As VHDL-AMS is a superset of VHDL, an VHDL-AMS simulator will simulate VHDL.

Just import your code (i.e. compile it) in the Cadence database.

Cheers,
Jerome
Back to top
 
 
View Profile   IP Logged
Pages: 1
Send Topic Print
Copyright 2002-2024 Designer’s Guide Consulting, Inc. Designer’s Guide® is a registered trademark of Designer’s Guide Consulting, Inc. All rights reserved. Send comments or questions to editor@designers-guide.org. Consider submitting a paper or model.