The Designer's Guide Community
Forum
Welcome, Guest. Please Login or Register. Please follow the Forum guidelines.
Mar 28th, 2024, 6:21am
Pages: 1
Send Topic Print
Gate level netlist to RTL (Read 12378 times)
rajdeep
Senior Member
****
Offline



Posts: 220
UK
Gate level netlist to RTL
Jan 10th, 2011, 6:06am
 
Hi folks,

Is there a tool that can generate RTL from gate level netlist? I need a behavioral code for a digital controller block (which was manually drawn). This will help me in speeding up mixed-signal simulation.

Thanks
Rajdeep
Back to top
 
 

Design is fun, verification is a requirement.
View Profile   IP Logged
Andrew Beckett
Senior Fellow
******
Offline

Life, don't talk to
me about Life...

Posts: 1742
Bracknell, UK
Re: Gate level netlist to RTL
Reply #1 - Jan 10th, 2011, 10:27am
 
Rajdeep,

Not as far as I know (may be wrong, as synthesis (or rather the inverse of synthesis) is not really my field of expertise). It would seem rather tricky to do to me... (like turning assembly language into a high level language).

Anyway, I'd be surprised if it speeds up your mixed signal simulation. Most mixed-signal simulations have their performance limited by the analog part, not the digital part.

Regards,

Andrew.
Back to top
 
 
View Profile WWW   IP Logged
haykp
Community Member
***
Offline



Posts: 40

Re: Gate level netlist to RTL
Reply #2 - Jan 10th, 2011, 11:52pm
 
Hi Rajdeep,

My belief is that you will not find such tool, mainly all EDA tools try to bring the design close to GDS. There will not be any tool which tries to move in reverse direction. This is my thoughts.

I believe trying to find the initial RTL may be more easy, though I am not sure that it will speed up your simulations. Also you can run the simulations with notimingcheck option, to switch of the timing checks.

Thanks,
Hayk
Back to top
 
 
View Profile   IP Logged
rajdeep
Senior Member
****
Offline



Posts: 220
UK
Re: Gate level netlist to RTL
Reply #3 - Jan 11th, 2011, 12:36am
 
Thanks Guys!

@Andrew: I am using AMS Designer, and this is a dc-dc converter ckt. I would have liked to write a behavioral code (that may include delay statements, as I'll be using it for simulation only) of the digital controller. I guess instead of using the schematic view of the digital ckt and using verilog view for the leaf level gates/ffs, if I use verilog view of the whole digital ckt (use gate-level netlist for the time-being) that should help speeding up the sim. And I completely agree with u that its the discrete switching signals that cross the analog-digital boundary are the main culprit....so may not be a big help!

Thanks!
Rajdeep
Back to top
 
 

Design is fun, verification is a requirement.
View Profile   IP Logged
Andrew Beckett
Senior Fellow
******
Offline

Life, don't talk to
me about Life...

Posts: 1742
Bracknell, UK
Re: Gate level netlist to RTL
Reply #4 - Jan 11th, 2011, 2:59am
 
Hi Rajdeep,

Even though the digital controller is a schematic containing logic gates, it would still be simulated in the event-driven part of the simulator (assuming that the logic gates are verilog representations rather than electrical). It gets netlisted as VerilogAMS, but if there's no continuous signals, then it will remain digital. So it should be fast.

Regards,

Andrew.
Back to top
 
 
View Profile WWW   IP Logged
Pages: 1
Send Topic Print
Copyright 2002-2024 Designer’s Guide Consulting, Inc. Designer’s Guide® is a registered trademark of Designer’s Guide Consulting, Inc. All rights reserved. Send comments or questions to editor@designers-guide.org. Consider submitting a paper or model.