The Designer's Guide Community
Forum
Welcome, Guest. Please Login or Register. Please follow the Forum guidelines.
Mar 28th, 2024, 6:43pm
Pages: 1
Send Topic Print
Igfinfet (independent gate finfet) (Read 2451 times)
Bakr
New Member
*
Offline



Posts: 1

Igfinfet (independent gate finfet)
Nov 18th, 2016, 4:30am
 
Hi,
I'm a new cadence user and I urgenly need to simulate igfinfet model shown here :
http://ece.ut.ac.ir/dsdlab/IG_FinFET.html
Can anyone rescue me with a tutorial or any data to simulat it.
Thanks in advance.
Regards;
Bakr
Back to top
 
 
View Profile   IP Logged
Geoffrey_Coram
Senior Fellow
******
Offline



Posts: 1998
Massachusetts, USA
Re: Igfinfet (independent gate finfet)
Reply #1 - Nov 21st, 2016, 5:06am
 
Ugh, that's a horribly-written model.  The Verilog-A code (convertor.va) is a disaster.

It uses a signal-flow discipline
voltage G1,G2,G, temp;

instead of the conservative electrical.

And the actual equations are a mess:
analog  V(temp) <+ (p00 + p10*V(G1) + p01*V(G2) + p20*pow(V(G1),2) + p11*V(G1)*V(G2) + p02*pow(V(G2),2) + p30*pow(V(G1),3) + p21*pow(V(G1),2)*V(G2)+ p12*V(G1)*pow(V(G2),2) + p03*pow(V(G2),3) + p40*pow(V(G1),4) + p31*pow(V(G1),3)*V(G2) + p22*pow(V(G1),2)*pow(V(G2),2)+ p13*V(G1)*pow(V(G2),3) + p04*pow(V(G2),4) + p50*pow(V(G1),5) + p41*pow(V(G1),4)*V(G2) + p32*pow(V(G1),3)*pow(V(G2),2)+ p23*pow(V(G1),2)*pow(V(G2),3) + p14*V(G1)*pow(V(G2),4) + p05*pow(V(G2),5));
analog  V(G) <+ (V(temp)> 1.2)?(1.1):((V(temp) < -0.3)?(-0.2):(V(temp)));

If I'm reading that last line correctly, the expression for the gate voltage is discontinuous: it follows V(temp) between -0.3 and 1.2, but for V(temp) above 1.2, V(G) drops by 0.1 to 1.1 and then stays there, and has a similar discontinuity at -0.3

Stay away!
Back to top
 
 

If at first you do succeed, STOP, raise your standards, and stop wasting your time.
View Profile WWW   IP Logged
Pages: 1
Send Topic Print
Copyright 2002-2024 Designer’s Guide Consulting, Inc. Designer’s Guide® is a registered trademark of Designer’s Guide Consulting, Inc. All rights reserved. Send comments or questions to editor@designers-guide.org. Consider submitting a paper or model.