The Designer's Guide Community
Forum
Welcome, Guest. Please Login or Register. Please follow the Forum guidelines.
Mar 28th, 2024, 3:30am
Pages: 1
Send Topic Print
How to substitute some source files while running irun command line? (Read 1075 times)
BackerShu
Community Member
***
Offline



Posts: 64

How to substitute some source files while running irun command line?
May 01st, 2017, 9:43pm
 
Hello All,

I want to know how we can do simulation based on the netlist and environment generated through ADE, and run irun in command line with some modifications/substitutions to the original design. To be specific, here is what I tried:

1. Run AMS simulation in ADE using the GUI environment

2. Go into the netlist folder

3. Change one source file by modifying "textInput" file: (I tried several ways, but get the same error (Just to clear the doubt, there is no syntax error related to the source file that I switch to)). The following is the most plausible way I tried and also to show what I want to do exactly.

// HDL file for Lib - gshu_models ,Cell - ipll_dco, View - verilogams

//-amscompilefile "file:${IC_INVOKE_DIR}/gshu_models/ipll_dco/verilogams/verilog.vams lib:gshu_models cell:ipll_dco view:verilogams" (this line is generated by ADE)

-amscompilefile "file:./ipll_dco_local.vams lib:gshu_models cell:ipll_dco_local view:verilogams" (this line is added by me)

4. ./runSimulation

5. Error information (simulation run correctly if I do not change any source file and do ./runSimulation directly. So I assume my tool environment is set up correctly.)

ncelab: *E,EXNEUS: 'ipll_dco' was configured to be bound to 'lib=gshu_models view=verilogams' in Cadence Hierarchy Editor. However, that configuration setting was not used anywhere in the elaborated design - which could result in unexpected simulation results. It could be due to an inappropriate use model, an error in the design setup or an unsupported feature. Check the design netlist/text to confirm if the specified cell/instance is generated as expected. If it referring to instances within a text view, check if that text view was compiled with the same set of options when it was imported into Virtuoso and when it was specified to irun for simulation. If you continue to see the error after resolving the above scenarios, contact Cadence with the error information.

I think it is some built-in connections during the nestling process from ADE prevents me from changing any source file, but I couldnot figure out how to bypass it.

So my questions are:

1. Is it possible to do AMS simulation based on the process I do, meaning generate netlist from ADE and run irun through command line after some modifications to the original design?

(I choose to do this since it is convenient to generate the netlists of the analog parts in the design)

2. I realize this is probably not the best to achieve what I want. If there is a better flow to achieve this, please kindly let me know.

Thank you,

--Guanghua
Back to top
 
 
View Profile WWW   IP Logged
AMS_ei
Community Member
***
Offline



Posts: 67

Re: How to substitute some source files while running irun command line?
Reply #1 - Jan 31st, 2018, 4:26am
 
Hi,

I am not sure whether you have got the solution.
However, I have a solution for that.
I think you are using the contents of textInputs into the irun command line.
Instead you can use this textInputs file directly by "-f <PATH>/textInputs" into the irun option. There may be other options available in the textInputs file which says about makelib and endlib that are required by the irun command.
Hence, on safer side, just include the "-f <PATH>/textInputs" with the irun command or create an .fl file in which you can include this.

Hope this helps.

Thank you.
Back to top
 
 
View Profile   IP Logged
Pages: 1
Send Topic Print
Copyright 2002-2024 Designer’s Guide Consulting, Inc. Designer’s Guide® is a registered trademark of Designer’s Guide Consulting, Inc. All rights reserved. Send comments or questions to editor@designers-guide.org. Consider submitting a paper or model.