The Designer's Guide Community
Forum
Welcome, Guest. Please Login or Register. Please follow the Forum guidelines.
Jul 16th, 2024, 11:33am
Pages: 1
Send Topic Print
Problems with Monte Carlo Simulation with VerilogA model (Read 5124 times)
yongnali
New Member
*
Offline



Posts: 1

Problems with Monte Carlo Simulation with VerilogA model
Jul 07th, 2012, 4:29pm
 
Hello everyone, I have wrote a verilogA model and want to run Monte Carlo Simulation in Cadence Spectre ADE. Now the model is written in standard parameter values.
Now I have a set of random parameters (I know the distribution wave of them), what I want to do is have their value=0 when I run normal simulation in ADE (DC, AC, Tran) and when I run Monte Carlo, those parameters start to change according to the distribution I have. Anyone knows a method to deal with it?

I tied rdist_normal function but function makes it changing even in normal simualtion. Any idea would help! Thanks! Cheesy
Back to top
 
 
View Profile   IP Logged
jerome_ams
Community Member
***
Offline



Posts: 36

Re: Problems with Monte Carlo Simulation with VerilogA model
Reply #1 - Jul 11th, 2012, 8:25am
 
Can parameters in a verilog-ams model be driven by the spectre simulator as they would be in a spectre model at all?
Back to top
 
 
View Profile   IP Logged
boe
Community Fellow
*****
Offline



Posts: 615

Re: Problems with Monte Carlo Simulation with VerilogA model
Reply #2 - Jul 18th, 2012, 12:59pm
 
yongnali wrote on Jul 7th, 2012, 4:29pm:
Hello everyone, I have wrote a verilogA model and want to run Monte Carlo Simulation in Cadence Spectre ADE. Now the model is written in standard parameter values.
Now I have a set of random parameters (I know the distribution wave of them), what I want to do is have their value=0 when I run normal simulation in ADE (DC, AC, Tran) and when I run Monte Carlo, those parameters start to change according to the distribution I have. Anyone knows a method to deal with it?

I tied rdist_normal function but function makes it changing even in normal simualtion. Any idea would help! Thanks! Cheesy
See Cadence-Online support, solution ID 11213354.

- B O E
Back to top
 
 
View Profile   IP Logged
Pages: 1
Send Topic Print
Copyright 2002-2024 Designer’s Guide Consulting, Inc. Designer’s Guide® is a registered trademark of Designer’s Guide Consulting, Inc. All rights reserved. Send comments or questions to editor@designers-guide.org. Consider submitting a paper or model.