The Designer's Guide Community Forum
https://designers-guide.org/forum/YaBB.pl
Simulators >> Circuit Simulators >> question about ultrasim
https://designers-guide.org/forum/YaBB.pl?num=1111971600

Message started by jimmy li on Mar 27th, 2005, 4:59pm

Title: question about ultrasim
Post by jimmy li on Mar 27th, 2005, 4:59pm

Hi,

I just started to try using Ultrasim. I have a question here.

After I started the transient simulation, it doesn't show the progress in a continous way, but in a "discrete timeway". I mean, it only tells you that it has finished "10%", then "20%", and so on. I can only reload the signal waveform in a similar "discrete-time" way.

I am wondering if I can see the progress and update the waveform in a simiar way of Spectre simulator. That will give me a better idea of the simulation progress and simulation result.

thank you for your help.

jimmy li

Title: Re: question about ultrasim
Post by Baolin Yang on Apr 19th, 2005, 3:59pm

Hi Jimmy,

In my experience, the waveform will be updated more "continuously" after the first 10%.

Also you can use Ultrasim option

.usim_opt progress_p=1

to update every 1% instead of 10%.

Regards,

Baolin

The Designer's Guide Community Forum » Powered by YaBB 2.2.2!
YaBB © 2000-2008. All Rights Reserved.