The Designer's Guide Community Forum
https://designers-guide.org/forum/YaBB.pl
Design Languages >> Verilog-AMS >> Serial communication with FPGA
https://designers-guide.org/forum/YaBB.pl?num=1138259957

Message started by uzmeed on Jan 25th, 2006, 11:28pm

Title: Serial communication with FPGA
Post by uzmeed on Jan 25th, 2006, 11:28pm

hi

I want to serially communicate with FPGA( PC to FPGA) how can it b done?????

uzmeed

Title: Re: Serial communication with FPGA
Post by Paul on Jan 27th, 2006, 2:16pm

Hi,

that depends on your FPGA. Many advanced types nowadays come with multiple integrated communication interfaces. It also depends on your needs in terms of speed/bandwidth. If you don't have any standard ports already available, go to http://www.opencores.org and grab the synthesizable model of one of the standard interfaces like UART, SPI, USB, etc...

Paul

The Designer's Guide Community Forum » Powered by YaBB 2.2.2!
YaBB © 2000-2008. All Rights Reserved.