The Designer's Guide Community Forum
https://designers-guide.org/forum/YaBB.pl
Simulators >> AMS Simulators >> ncelab error - verilog functional instance unresol
https://designers-guide.org/forum/YaBB.pl?num=1156883283

Message started by raftman on Aug 29th, 2006, 1:28pm

Title: ncelab error - verilog functional instance unresol
Post by raftman on Aug 29th, 2006, 1:28pm

I am having issues elaborating my design in AMS.  The ncelab log file is as follows:

ncelab: 05.40-p004: (c) Copyright 1995-2004 Cadence Design Systems, Inc.
ncelab: *W,DLCILIB: Library name 'my_lib' not found, defaulting to 'MY_lib'.  Please see nchelp on this error.
ncelab: *W,CUNOUN: Cannot find any unit under MY_lib.my_cell:functional in the design libraries.
ncelab: *E,CUCFUN: instance 'I28' of the unit 'my_cell' is unresolved in 'MY_lib.my_test_cell:schematic'.


I am concerned with the CUNOUN and CUCFUN warning/error.  (I think the DLCILIB warning is just because my library has capital characters in it)

I have tried explicitly netlisting my_schematic via CIW->tools->AMS->netlist, but this does not help.

The ncelab help manual says the following about the error:

ncelab/CUCFUN =
       The specified instance in the named module could not be
       resolved using the 5.x configuration specification available.

I have no idea what the '5.x configuration specification' is.


thanks for your help!!!

Title: Re: ncelab error - verilog functional instance unr
Post by jbdavid on Aug 30th, 2006, 12:11am


So its not the testbench schematic.. but the instance I28 in it..
Have you netlisted THAT schematic?
if its a primitive device did you setup your model files?
does the PDK for it have an ams view, and amssiminfo ??
Jbd

Title: Re: ncelab error - verilog functional instance unr
Post by raftman on Aug 30th, 2006, 7:05am

The instance I28 is an imported verilog functional block.  It only has functional and symbol views.  

I wrote the verilog externally and imported it to cadence via CIW->file>import->verilog

This schematic simulated using spectreVerilog, so I'm not sure how to proceed.  I thought AMS would compile the verilog when I compiled the toplevel testbench cell.  

Thanks!

Title: Re: ncelab error - verilog functional instance unr
Post by jbdavid on Aug 30th, 2006, 11:06pm

1. I don't see the need to "IMPORT" it except to get the symbol..
but when you look at the HED, do you have "functional" view in your switch list?
SpectreVerilog uses Verilog-XL.. maybe there is an incompatibilty with Verilog-2001 so that the model doesn't compile properly with ncvlog?

Its not quite the same Verilog!!
jonathan

Title: Re: ncelab error - verilog functional instance unr
Post by jimwest on Apr 11th, 2008, 11:37pm

I met with the same problem in ams elabrating.
I don't think it a compatible issue, cuz the simulation would be smooth if I had not changed the certain block.
But I still cannot find the way to overcome this error

The Designer's Guide Community Forum » Powered by YaBB 2.2.2!
YaBB © 2000-2008. All Rights Reserved.