The Designer's Guide Community Forum
https://designers-guide.org/forum/YaBB.pl
Design Languages >> Verilog-AMS >> Frequency change measurement in time domain
https://designers-guide.org/forum/YaBB.pl?num=1172985869

Message started by Amit Singh on Mar 3rd, 2007, 9:24pm

Title: Frequency change measurement in time domain
Post by Amit Singh on Mar 3rd, 2007, 9:24pm

Hi,
   I was simulating the VCO verilog model. I would like to know is there a verilog program or a script which can be run in spectre to measure the frequncy change in the time domain. That is as the control voltage of the VCO changes , VCO output signal frequency changes and i want to have a plot which shows how the frequency changes in the time domain.
  Thanks for the quick reply.

Best Regards

Title: Re: Frequency change measurement in time domain
Post by Andrew Beckett on Mar 5th, 2007, 11:03am

You can use the freq_meter component from ahdlLib - this will output the instantaneous frequency. Also, the calculator has a means of plotting instantaneous frequency these days (but you're better off using freq_meter or something like it, because it will solve for the crossing point and hence get the frequency more accurate than if it is interpolated by the calculator). You might want to look at sourcelink solution 11015938 - probably needs to be updated (by me, since I wrote it) to reflect the fact that the calculator has a built in instantaneous frequency measurement function (think it's just called "freq" - can't remember off the top of my head).

Andrew.

The Designer's Guide Community Forum » Powered by YaBB 2.2.2!
YaBB © 2000-2008. All Rights Reserved.