The Designer's Guide Community Forum
https://designers-guide.org/forum/YaBB.pl
Simulators >> AMS Simulators >> Netlisting a schematic for use with VHDL-AMS
https://designers-guide.org/forum/YaBB.pl?num=1182186041

Message started by cmarqu on Jun 18th, 2007, 10:00am

Title: Netlisting a schematic for use with VHDL-AMS
Post by cmarqu on Jun 18th, 2007, 10:00am

Hi,

in the past, we were verifying connectivity of a schematic (in Cadence Virtuoso) by netlisting it with vhdlNet,
filling out the modules with models, and simulating that in the digital realm.
We would map analog ports to a user-defined type in pure VHDL (a record type made from an integer value
and an abstract portion), and in order to get the port types correct, we set the
vhdlDataType property of each port. This worked pretty well, a port would look like

   vout : out our_vhdl_type;

Now, we are moving to VHDL-AMS though, and I can't find a way to get

   terminal vout : electrical;

out of vhdlNet.

The only way I see right now is to post-process the generated files, but if I can avoid this...

Any hints welcome.

Thanks
 Colin

Title: Re: Netlisting a schematic for use with VHDL-AMS
Post by cmarqu on Jun 26th, 2007, 4:07am

Okay, it's just not possible to do directly, and I've had a PCR filed with Cadence.

Title: Re: Netlisting a schematic for use with VHDL-AMS
Post by ricks on Sep 23rd, 2007, 1:37am

As you have found out the hard way, the vhdltool box does not support VHDLAMS or the analog extensions to vhdl.
There are already PCRs asking for this support from others.
You will have to post-process the vhdl tool box netlist to add the analog ports/types as you are already doing.

thanks
Rick

The Designer's Guide Community Forum » Powered by YaBB 2.2.2!
YaBB © 2000-2008. All Rights Reserved.