The Designer's Guide Community Forum
https://designers-guide.org/forum/YaBB.pl
Design Languages >> Verilog-AMS >> Issues in simulatingwith spectre-verilog simulator
https://designers-guide.org/forum/YaBB.pl?num=1213901310

Message started by paddu on Jun 19th, 2008, 11:48am

Title: Issues in simulatingwith spectre-verilog simulator
Post by paddu on Jun 19th, 2008, 11:48am

Hello,
 I am getting the following error when simulating verilog with cadence spectreverilog option. I am simulating the verilog code by importing from icfb. If anyone know why this error is coming plz let me know.

Error - verilog-xl executable 'verilog.vmx' cannot be located from $PATH
Please specify correct location in Digital simulation options

Regards,
suresh

Title: Re: Issues in simulatingwith spectre-verilog simul
Post by jbdavid on Jun 19th, 2008, 1:43pm

man - I even  remember that one..  and I quit using spectre verilog after a bad experience in 2000 (with minor exceptions)
your verilogxl executable needs to be built with the vmx extensions ..
If you look in the 443 open book, I think you can find the instructions there..
-- maybe you need to just change your path to use the "right" version of VXL that has the vmx extensions built in. ??

this may not really help you since cadence has changed the setup in subsequent years, and I refuse to touch it now..
AMSD is much better!
goodluck


The Designer's Guide Community Forum » Powered by YaBB 2.2.2!
YaBB © 2000-2008. All Rights Reserved.