The Designer's Guide Community Forum
https://designers-guide.org/forum/YaBB.pl
Simulators >> AMS Simulators >> NCSIM in Cadence
https://designers-guide.org/forum/YaBB.pl?num=1237805428

Message started by Prateek on Mar 23rd, 2009, 3:50am

Title: NCSIM in Cadence
Post by Prateek on Mar 23rd, 2009, 3:50am

hi,  we have cadence in our college, but ncsim doesnt work on it, are there different versions of cadence, where on some there is nc sim installed and on some it is not.

If yes, can i still simulate verilog-ams file on it? and how
please answer.... :)
it will be very helpful

Title: Re: NCSIM in Cadence
Post by Andrew Beckett on Mar 23rd, 2009, 10:07am

Verilog-AMS models are simulated using AMS Designer, which is included in the "IUS" stream of software (called "LDV" in earlier versions). The simulator is invoked using ncsim (often launched from either ADE, or from the hierarchy editor plugin within Virtuoso, or from the command line).

If you want to use a Cadence mixed-signal simulator that supports Verilog-AMS, you'll need AMS Designer, and so you'll need access to "ncsim".

Regards,

Andrew.

Title: Re: NCSIM in Cadence
Post by Geoffrey_Coram on Mar 26th, 2009, 6:09am

Are you using any AMS features?  You can run Verilog-A models in Spectre, without AMS Designer or ncsim.

The Designer's Guide Community Forum » Powered by YaBB 2.2.2!
YaBB © 2000-2008. All Rights Reserved.