The Designer's Guide Community Forum
https://designers-guide.org/forum/YaBB.pl
Simulators >> AMS Simulators >> cadence ams simulator
https://designers-guide.org/forum/YaBB.pl?num=1252699707

Message started by godfather on Sep 11th, 2009, 1:08pm

Title: cadence ams simulator
Post by godfather on Sep 11th, 2009, 1:08pm

hello all,
I want to know does the latest ams package from cadence supports the vhdl-ams completely, i mean just like verilog-ams.

thx a lot...

Title: Re: cadence ams simulator
Post by Riad KACED on Sep 21st, 2009, 12:53pm

Hi Godfather,

I know of couple of limitations in the support of VHDL/VHDLAMS in AMS Designer. But I think that the new OSS based netlister use model (the 1-step irun) is better in handeling VHDL-AMS.

Have you hit any show stopper using VHDL-AMS in AMS Designer ?

Cheers,
Riad.

The Designer's Guide Community Forum » Powered by YaBB 2.2.2!
YaBB © 2000-2008. All Rights Reserved.