The Designer's Guide Community Forum
https://designers-guide.org/forum/YaBB.pl
Design Languages >> Verilog-AMS >> Thermal sensor using Verilog-A
https://designers-guide.org/forum/YaBB.pl?num=1257961736

Message started by kamesh419 on Nov 11th, 2009, 9:48am

Title: Thermal sensor using Verilog-A
Post by kamesh419 on Nov 11th, 2009, 9:48am

Hello all,

I would like to use a integrated a thermal sensor at the system level to simulate a multicore processor (Network on chip) architecture (which might be written in systemC or C++) and would like to take routing decisions based on that. I am not sure how to build such a thermal sensor and use it at higher level if abstraction. Since thermal sensors are usually analog components and temperature is a physical quantity which varies with time and application/load (activity). How would the inputs and outputs be of such a thermal sensor ?

Should the environment/temperature be the input parameter to a thermal sensor if I model it in Verilog-A?

Thanks and Regards,
Kamesh.

Title: Re: Thermal sensor using Verilog-A
Post by Riad KACED on Nov 12th, 2009, 2:46pm

Hi Kamesh,

One can sense the temparature of a chip using a PTAT.
The Bandgap-PTAT output voltage is, for the sake of the modeling, a linear function of the temperature, i.e. VPtat = Temp*Gain. You can then feed a comparator with the output of the PTAT and get it compared to a signal that you generate.

From a modeling point of view, you can emulate the chip-temparature using the system $temperature variable, i.e. the simulation temp in Kelvin.

This is just one idea amongst many others.

Cheers,
Riad.

Title: Re: Thermal sensor using Verilog-A
Post by kamesh419 on Nov 13th, 2009, 8:27am

Thanks a lot for the reply Riad. But, if I create a verilog-A model of a thermal sensor (based on PTAT or other), will I be able to co-simulate it with blocks written in SystemC? Will SystemC allow me to use different temperatures at different parts of the developed system? What IDE tools do you recommend for this?

Thanks and Regards,
Kamesh.


Title: Re: Thermal sensor using Verilog-A
Post by sheldon on Nov 14th, 2009, 6:50am

Kamesh,

  You are not very clear about what you would like to do so it
is difficult to make detailed recommendations.

    First, Verilog-A includes a thermal discipline with two
expressions: potential, Temperature, and flow, Power. To
model system's thermal characteristics use the calculated
power and thermal impedance of the chip, package, board,
... to calculate the Temperature for the component. Since you
are building a system model, I would just create a black box
model: Temperature input, transfer function from thermal to
electrical, and then the desired electrical output interface. In
this case, modeling the detailed operation of the temperature
probably does not add any value to the simulation.

  Again since you are building a system model, it might be better
to abstract the functionality at the high level. I think that the complex
part of the model will be developing the thermal model for the
system: chip, package, board, [fan(?)], ...  

                                                        Good Luck,

                                                            Sheldon

The Designer's Guide Community Forum » Powered by YaBB 2.2.2!
YaBB © 2000-2008. All Rights Reserved.