The Designer's Guide Community Forum
https://designers-guide.org/forum/YaBB.pl
Simulators >> AMS Simulators >> cadence verilog ams environment setup question
https://designers-guide.org/forum/YaBB.pl?num=1275686196

Message started by JT_BB on Jun 4th, 2010, 2:16pm

Title: cadence verilog ams environment setup question
Post by JT_BB on Jun 4th, 2010, 2:16pm

I am new to verilog-ams. I am trying to use cadence verilog-ams, but I am getting the following error when creating and saving a verilog-ams cellview.

ncvlog: 07.11-s017: (c) Copyright 1995-2008 Cadence Design Systems, Inc.
`include "constants.vams"
                       |
ncvlog: *E,COFILX (/tmp/wtam/pdk/AMS_tutorial/a_d/verilogams/verilog.vams,3|24): cannot open include file '/usr/cds/ic-6.13/tools/spectre/etc/ahdl/constants.vams'.
`include "disciplines.vams"
                         |
ncvlog: *E,COFILX (/tmp/wtam/pdk/AMS_tutorial/a_d/verilogams/verilog.vams,4|26): cannot open include file '/usr/cds/ic-6.13/tools/spectre/etc/ahdl/disciplines.vams'.


It seems that the environment is not set up correctly such that the it is looking for include files at /usr/cds/ic-6.13/tools/spectre/etc/ahdl, which no longer exists in ic6. In my environment setup I have already included:

setenv CDSDIR /usr/cds/ic-6.13
setenv MMSIMDIR /usr/cds/mmsim-7.11
setenv ASSURAHOME /usr/cds/assura-4.1
setenv AMSHOME /usr/cds/ius-8.20

setenv PATH "${MMSIMDIR}/tools/bin:${CDSDIR}/tools/dfII/bin:${CDSDIR}/tools/bin:${PATH}"

setenv PATH "${AMSHOME}/tools/bin:${AMSHOME}/tools/dfII/bin:${AMSHOME}/tools/simvision/bin:${PATH}"


Can someone tell me what is missing?

Thanks a lot!!





The Designer's Guide Community Forum » Powered by YaBB 2.2.2!
YaBB © 2000-2008. All Rights Reserved.