The Designer's Guide Community Forum
https://designers-guide.org/forum/YaBB.pl
Design Languages >> Verilog-AMS >> Verilog-A vs Verilog-AMS
https://designers-guide.org/forum/YaBB.pl?num=1320981981

Message started by tky86 on Nov 10th, 2011, 7:26pm

Title: Verilog-A vs Verilog-AMS
Post by tky86 on Nov 10th, 2011, 7:26pm

Hi,

I'm not sure whether i post in the right place or not. I was wondering what is the different between verilog-A and verilog-AMS?

Could i get the free-download either these 2 tools in any websites?

Thanks.

Title: Re: Verilog-A vs Verilog-AMS
Post by boe on Nov 11th, 2011, 8:13am

tky86,

Verilog-A is for analog models. Verilog-AMS supports digital as well.
- B O E

Title: Re: Verilog-A vs Verilog-AMS
Post by Geoffrey_Coram on Nov 14th, 2011, 8:56am

Verilog-AMS  (analog and mixed-signal) is a hardware description language.  Verilog-A is the analog-only subset of V-AMS.

They're languages, not tools.  There are some free tools that support some parts of Verilog-A (qucs and ADMS, for example, both on sourceforge.net).

Title: Re: Verilog-A vs Verilog-AMS
Post by tky86 on Nov 17th, 2011, 5:04pm

thanks!

which tools can support verilog-A/ verilog-AMS? any free download website?

thanks

Title: Re: Verilog-A vs Verilog-AMS
Post by Geoffrey_Coram on Nov 22nd, 2011, 10:39am

Did you read my previous response?


Geoffrey_Coram wrote on Nov 14th, 2011, 8:56am:
There are some free tools that support some parts of Verilog-A (qucs and ADMS, for example, both on sourceforge.net).


Title: Re: Verilog-A vs Verilog-AMS
Post by afridi on Feb 7th, 2012, 10:04pm

In reference to this topics... a question arises that my Cadence shows a Verilog-AMS type cell but when I write any code it doesn't compile, whereas Verilog-A works.
What could be the reason?

Title: Re: Verilog-A vs Verilog-AMS
Post by boe on Feb 8th, 2012, 4:27am


afridi wrote on Feb 7th, 2012, 10:04pm:
In reference to this topics... a question arises that my Cadence shows a Verilog-AMS type cell but when I write any code it doesn't compile, whereas Verilog-A works.
What could be the reason?

Are the required tools installed? Do you have the licenses needed?
- B O E

Title: Re: Verilog-A vs Verilog-AMS
Post by afridi on Feb 8th, 2012, 5:04am

how can one check this from the CIW?
I am a student so I don't know exactly what kind of licenses are installed.

All i know is that Spectre Version is 5.10

Title: Re: Verilog-A vs Verilog-AMS
Post by boe on Feb 8th, 2012, 5:28am

Afridi,
Spectre 5.1.0 is very old. You should use Spectre 10.x/11.x (from MMSIM10/11). For AMS you also need INCISIVE (was IUS), which should not be too old either.

Try ncsim -W for version of IUS/INCISIVE.

- B O E

Title: Re: Verilog-A vs Verilog-AMS
Post by afridi on Feb 8th, 2012, 6:48am

Dear boe,

If the AMS is so old why is there an option of VerilogAMS-Editor in the cellview?

The ncsim -W doesnt work in the CIW.
It shows the following error

" *Error* eval: undefined function - ncsim "

Title: Re: Verilog-A vs Verilog-AMS
Post by boe on Feb 8th, 2012, 7:11am

Afridi,
sorry, I forgot to mention that you should run the command in the shell.
Older versions of the tools should support AMS as well, but you should expect more problems.

- B O E

Title: Re: Verilog-A vs Verilog-AMS
Post by boe on Feb 8th, 2012, 7:13am


afridi wrote on Feb 8th, 2012, 6:48am:
If the AMS is so old why is there an option of VerilogAMS-Editor in the cellview?
IMO it is Cadence marketing stategy to show fetures you have not licensed...

- B O E

The Designer's Guide Community Forum » Powered by YaBB 2.2.2!
YaBB © 2000-2008. All Rights Reserved.