The Designer's Guide Community Forum
https://designers-guide.org/forum/YaBB.pl
Analog Verification >> Analog Functional Verification >> use of $dumpvar
https://designers-guide.org/forum/YaBB.pl?num=1355501014

Message started by Designe_IT on Dec 14th, 2012, 8:03am

Title: use of $dumpvar
Post by Designe_IT on Dec 14th, 2012, 8:03am

Hi,

Do we have something similar to $dumpvar in Verilog-AMS?

I need to generated VCD file. I am using Cadence Spectre for simulation.

-Ganesh

Title: Re: use of $dumpvar
Post by AMS_ei on May 1st, 2017, 9:52am

Hi,

I am looking at this post for the first time. I am not sure if you have got this solutions.

However, here is the solution for that.

********************************

initial
begin
$dumpfile("abc.vcd");
$dumpvars();
end

********************************

Hope this helps.

Thank you.

Kind regards.

The Designer's Guide Community Forum » Powered by YaBB 2.2.2!
YaBB © 2000-2008. All Rights Reserved.