The Designer's Guide Community Forum
https://designers-guide.org/forum/YaBB.pl
Simulators >> Circuit Simulators >> hspice problem
https://designers-guide.org/forum/YaBB.pl?num=1440059584

Message started by vahid on Aug 20th, 2015, 1:33am

Title: hspice problem
Post by vahid on Aug 20th, 2015, 1:33am

hi.
How i can plot the output voltage curve represented by a variable resistance in transient Analysis with hspice?

and my other question is that , Why, when I measure the capacitance value of vccap , its value is not shown?

like this:
Code:
.op
.tran 2ns 1ms
.probe

Gcap 7 8  VCCAP PWL(1) 1 2  -1v, 0p 1v, 1p
vi1 1 2 sin( 0 1 1k)

.probe  cap(7,8)

.end

The Designer's Guide Community Forum » Powered by YaBB 2.2.2!
YaBB © 2000-2008. All Rights Reserved.