The Designer's Guide Community Forum
https://designers-guide.org/forum/YaBB.pl
Simulators >> Circuit Simulators >> cadence
https://designers-guide.org/forum/YaBB.pl?num=1081435196

Message started by urmiaboy on Apr 8th, 2004, 7:39am

Title: cadence
Post by urmiaboy on Apr 8th, 2004, 7:39am

hello,all

I 've got problems with cadence.Cadence doesnot know any of its library's.

what can I do?


Title: Re: cadence
Post by Andrew Beckett on Apr 12th, 2004, 4:44am

What you could do is actually state:

a) which tool you are using - "cadence" is rather too generic
b) what the error you're getting

You're not going to get any answers to your question as it
stands because it is meaningless and completely lacking
in detail...

Sorry to be blunt, but if you ask clear questions, you're much
more likely to get clear answers!

Andrew.

The Designer's Guide Community Forum » Powered by YaBB 2.2.2!
YaBB © 2000-2008. All Rights Reserved.