The Designer's Guide Community Forum
https://designers-guide.org/forum/YaBB.pl
Simulators >> AMS Simulators >> interface MSHDL-(low level analog)?
https://designers-guide.org/forum/YaBB.pl?num=1128515863

Message started by Denis on Oct 5th, 2005, 5:37am

Title: interface MSHDL-(low level analog)?
Post by Denis on Oct 5th, 2005, 5:37am

Help me!
Get me answer for sensitive issue. How can I connect VHDL-AMS/Verilog-AMS electrical/logical terminal to low level (transistor) analog circuitry for Cadence AMS Designer Environment. Please, give me advice for all cases.

Title: Re: interface MSHDL-(low level analog)?
Post by Andrew Beckett on Oct 5th, 2005, 7:28am

Do not post the same question multiple times in different places. Also, see my answer in http://www.designers-guide.org/Forum/?board=ms_design;action=display;num=1128515719

Regards,

Andrew.

The Designer's Guide Community Forum » Powered by YaBB 2.2.2!
YaBB © 2000-2008. All Rights Reserved.