The Designer's Guide Community Forum
https://designers-guide.org/forum/YaBB.pl
Simulators >> AMS Simulators >> connection rules error during NCelab (AMSDesigner)
https://designers-guide.org/forum/YaBB.pl?num=1146210726

Message started by Cri Azzolini on Apr 28th, 2006, 12:52am

Title: connection rules error during NCelab (AMSDesigner)
Post by Cri Azzolini on Apr 28th, 2006, 12:52am

Hi all,

I am a beginner user of Cadence AMS Designer (version IUS 5.5): I started from the AMS Designer Tutorial on the CDS docs.
Following the same flow described in the Tutorial I  tried to perform simulations on my own design.
I prepared the schematic view, the config view (with Hierarchy Editor) and then I compiled the design with AMS Design Prep.
On the next step, when I launch the AMS simulator from the AMS interface embedded inside the Hierarchy Editor I get the error message:

"E,NOUNIT: Unable to find a unit named 'mixedsignal' in the libraries"

and the NC elaboration is stopped.
From the CDS documentation I realised this is related to connection rules between the analog and the digital blocks but the CDS help is not very clear on how the problem can be solved. I looked for 'mixedsignal' units inside the design libraries and the Cadence installation directories but I could not find any 'mixedsignal' unit to point at.

Should I edit my "hdl.var" or "ams.env" configuration files?
Does anybody have any idea?  Is my description of the problem insufficient?

Many thanks in advance and regards!
Cri

Title: Re: connection rules error during NCelab (AMSDesig
Post by bernd on Apr 28th, 2006, 2:38am

How are your connect rules are called?
You can see that form the HED AMS plugin if you open the simulation
form.

Maybe you have to precompile your connect rule library?

Bernd

Title: Re: connection rules error during NCelab (AMSDesig
Post by Cri Azzolini on Apr 28th, 2006, 3:01am

Hi Bernd,

thanks for your reply!
In the "AMS Run Simulation" form I have 3 fields in the ConnectRules section: they are called Library, Cell and View.
In Cell I read "mixedsignal" and the others fields are empty.

Should I add a ConnectRules library in my cds.lib? If so, where can I find this library?
I am looking for those rules: when I will find them, how can I precompile the connect rule file?

Thanks,

Cri

Title: Re: connection rules error during NCelab (AMSDesig
Post by bernd on Apr 28th, 2006, 3:23am

All fields for the connect rules have to be filled with the appropriate library/cell/view.

You should add the connect rules library to your cds.lib file if it is not
already there.

Look at the docs:
Virtuoso AMS Environment User Guide,
Quick-Start Tutorial,
Setting Up the Tutorial,
and your_install_dir/tools/dfII/samples/tutorials/AMS/README

a sample connect rule library can also be found under

your_ius_install_dir/tools/affirma_ams/etc

Bernd

Title: Re: connection rules error during NCelab (AMSDesig
Post by Cri Azzolini on May 3rd, 2006, 8:26am

Hi Bernd,

thanks for the reply. I carefully read many times the AMS Designer Tutorial but the matters related to the Connection libraries are just touched: everything works well in the Tutorial but ... the "real world" starts where the tutorial stops  ;)

I can not understand the difference between the Interconnection Elements (IE) found in the schematic view of the design (in the Mixed-Signal menu in Virtuoso Schematic) and the Connection Rules to be defined in the Simulation form of the AMS plug-in (Hierarchy Editor). May someone help me?
Actually it seems to me that the IE automatically placed in the schematic are furnished with the design kit (provided by the silicon foundery) whereas the Connection Rules are Cadence provided. Am I right?

Many thanks and regards,
Cri


Title: Re: connection rules error during NCelab (AMSDesig
Post by bernd on May 3rd, 2006, 9:59am

There are two terms and two mixed-signal flows for them don't mix it.

1. Connect Rules or Connect Modules, they were used
  for AMS Designer (NC-Sim + analog solver option Spectre or UltraSim),
  the newer flow, (common netlist format VerilogAMS, single process).

2. Interface Elements (IE), they were used for
  SpectreVerliog or SpectreUltraSim (Verilog-XL and Spectre or
  Verilog-XL and UltraSim), the older flow,
  (separate netlist formats Verilog and Spectre, coupled process).

For both Connect Rules as well as Interface Elements Cadence provides
samples somewhere within the installation sample libraries.

You have to adapt them up to which flow you are using to your
technology and design requirements.

The placement of them is depended of your design partitioning and
based on this its done by a internal algorithm.

Bernd

Title: Re: connection rules error during NCelab (AMSDesig
Post by jbdavid on Aug 11th, 2006, 2:10am

There are connect rules provided by cadence, instructions on setting those up should have been in the tutorial..
if you have a sourcelink account you can read the latest version of the tutorial - or find solutions that pertain to this.. (5.5 has been around quite awhile. )

But you do need a connectLib in your cds.lib,
and while you can point to the one in the IUS Install,
I prefer to copy it to my own library and customize it..
So you might not have noticed the part of the setup where the connecLib was added to the cds.lib file?

- AH YES - there is a POST LOAD Install script that has to be run by the person who installed the tools..

Code:
cds_root ncvlog
cds_root ncvlog | pushd -
tools/affirma_ams/etc/install/amsConnectLibCompile
popd

THEN add the following line to your cds.lib

Code:
SOFTDEFINE connectLib $(inst_root_with:tools/bin/ncsim)/tools/affirma_ams/etc/connect_lib/connectLib

OR

Code:
SOFTINCLUDE $(inst_root_with:tools/bin/ncsim)/tools/affirma_ams/etc/connect_lib/cds.lib

- but you have to have the cadmgr run that script for the rest of that stuff to be there..

The Designer's Guide Community Forum » Powered by YaBB 2.2.2!
YaBB © 2000-2008. All Rights Reserved.