The Designer's Guide Community Forum
https://designers-guide.org/forum/YaBB.pl
Simulators >> AMS Simulators >> Verilog A
https://designers-guide.org/forum/YaBB.pl?num=1155605516

Message started by Jamz_will on Aug 14th, 2006, 6:31pm

Title: Verilog A
Post by Jamz_will on Aug 14th, 2006, 6:31pm

Hi,

Can I simulate my spice netlist and verilog behavioral model using verilog -a simulator if they behave the same?
If its possible, can you give me an idea how?

Thanks.

Jamz_will

Title: Re: Verilog A
Post by Geoffrey_Coram on Aug 15th, 2006, 4:38am

Most if not all of the major analog simulators support Verilog-A.  You can either run two netlists (one Verilog-A, one transistor-level) and compare the output waveforms from two simulations, or you can try to merge the two netlists into one (eg, put "adder" and "adder_va" in one netlist, and hook up their inputs to the same voltage sources, or if you have current inputs, you probably need to duplicate them) and compare the outputs within one simulation.

Title: Re: Verilog A
Post by Ken Kundert on Aug 15th, 2006, 8:38am

You cannot simulate Verilog code in a Verilog-A simulator. Verilog-A is a Verilog-like language suitable for describing analog circuitry. If you have Verilog code, you will need a Verilog-AMS simulator, which combines Verilog and Verilog-A. Verilog-AMS simulators allow one to combine SPICE netlists with Verilog code.

-Ken

The Designer's Guide Community Forum » Powered by YaBB 2.2.2!
YaBB © 2000-2008. All Rights Reserved.