The Designer's Guide Community Forum
https://designers-guide.org/forum/YaBB.pl
Modeling >> Behavioral Models >> How to replace "wait" statement in synthesis ?!?
https://designers-guide.org/forum/YaBB.pl?num=1164312081

Message started by AdamPod on Nov 23rd, 2006, 12:01pm

Title: How to replace "wait" statement in synthesis ?!?
Post by AdamPod on Nov 23rd, 2006, 12:01pm

Hi, I need to replace "wait" statement in order to perform synthesis of my behavioral model... Is there any known way to do this ?!? I found on the www.eecs.berkeley.edu/~chinnery/synthesizableVerilog.html website some solution, by it does not fulfil all my requirements. Below is a peace of my code I need to synthesize:

always@(state)
begin  
     case (state)

read:
     begin
           XEN_EEPROM_Read <= 1'b1;
           eeprom_reading <= 1;
           ER <= read_test_count;
           wait (eeprom_reading == 0);
           if (ED_int == EV_test)
                 failure <= 0;
           else
                 failure <= 1;
           parity <= ~parity;
           read_test_count <= read_test_count + 1;
           state <= idle;
     end
.......

eeprom_reading signal is set to zero when appropriate counter reach given value - the whole process is put in separate "always" block. In the rest of the cases there is no more of "wait" statements. I will be glad if anyone knew how rewrite this code properly and could gice my some hint.

Title: Re: How to replace "wait" statement in synthesis ?
Post by jbdavid on Dec 8th, 2006, 10:36pm

Sorry AdamPod, the bulk of the experience here is with Analog behavioral models... I think the last time I synthesized any HDL was in my VLSI design class at the university probably about 16 years ago..
I only do digital hdl (I call it Verilog-D) for testbench related stuff..
Maybe Janik Begeron's site would have better help?
Good luck.

The Designer's Guide Community Forum » Powered by YaBB 2.2.2!
YaBB © 2000-2008. All Rights Reserved.