The Designer's Guide Community Forum
https://designers-guide.org/forum/YaBB.pl
Design Languages >> VHDL-AMS >> VHDL - Files and Records
https://designers-guide.org/forum/YaBB.pl?num=1233775557

Message started by Rariman on Feb 4th, 2009, 11:25am

Title: VHDL - Files and Records
Post by Rariman on Feb 4th, 2009, 11:25am

Hi!

I have two doubts!

[1] Is there a function that can read the size of a file?

And...

[2] I'm trying to use a record in the parameter list of a function, but when the program is compiled appers a error. Can I use a record in the parameter list of a function?

Thanks.... Cheesy

Title: Re: VHDL - Files and Records
Post by Rariman on Feb 26th, 2009, 8:50am

kkk

The Designer's Guide Community Forum » Powered by YaBB 2.2.2!
YaBB © 2000-2008. All Rights Reserved.