The Designer's Guide Community Forum
https://designers-guide.org/forum/YaBB.pl
Measurements >> Other Measurements >> Calculator measurement expression in Spectre
https://designers-guide.org/forum/YaBB.pl?num=1292454763

Message started by sudhar_v on Dec 15th, 2010, 3:12pm

Title: Calculator measurement expression in Spectre
Post by sudhar_v on Dec 15th, 2010, 3:12pm

Hello all,

I have calculator expression to compute and print frequency vs time (the 'freq' function) for a transient simulation run. Is it possible to print the same result to a text file through the Cadence command line?

I understand the calculator computes the result using data from the psf output. Is there any way we can have spectre do the same?

Appreciate any pointers, feel free to let me know if my question is not clear.

Thanks!

Title: Re: Calculator measurement expression in Spectre
Post by ywguo on Dec 26th, 2010, 12:48am

Hi,

Spectre cannot do that itself. But you can do it with Ocean. After you set up the simulation and output in ADE, you can save Ocean script. Then it is easy to manipulate the operation in a text file.


Yawei

Title: Re: Calculator measurement expression in Spectre
Post by Ken Kundert on Dec 26th, 2010, 9:05am

Easily done using Verilog-A. take a look at http://www.designers-guide.org/VerilogAMS/functional-blocks/periods.tgz for guidance.

-Ken

Title: Re: Calculator measurement expression in Spectre
Post by sheldon on Dec 27th, 2010, 4:22am

Sudhar,

   Have you looked at using SpectreMDL? It has a built-in function to
measure frequency and has some advantages over the calculator
based approach.

                                                            Best Regards,

                                                              Sheldon

Title: Re: Calculator measurement expression in Spectre
Post by Ken Kundert on Dec 27th, 2010, 10:06am

It is worth noting that calculator measurements of frequency are inherently imprecise because they occur after the simulation is complete and use interpolation to determine the threshold crossings. If you use Verilog-A you can use both cross and lastcrossing to get very accurate results. Presumably SpectreMDL takes care of this for you and provides the same accuracy.

-Ken

Title: Re: Calculator measurement expression in Spectre
Post by casual on May 4th, 2011, 5:22pm

hi all,

How could I use the spectreMDL? I never use it before. I always use the  calculator

Title: Re: Calculator measurement expression in Spectre
Post by Ricky Chen on Jun 24th, 2013, 6:40am

Hi Ken,
The frequency and period  of the verilog-A monitor module is being captured and plotted by using .scs file.
However is it possible to use it ocean script.
I want to plot the frequency vs time using OCean script NOT in .scs
Could you please tell me how that can be done in ocean

Kind Regards,
Ricky



Ken Kundert wrote on Dec 26th, 2010, 9:05am:
Easily done using Verilog-A. take a look at http://www.designers-guide.org/VerilogAMS/functional-blocks/periods.tgz for guidance.

-Ken


The Designer's Guide Community Forum » Powered by YaBB 2.2.2!
YaBB © 2000-2008. All Rights Reserved.