The Designer's Guide Community Forum
https://designers-guide.org/forum/YaBB.pl
Modeling >> Behavioral Models >> frequency variable in spectre/verilogA
https://designers-guide.org/forum/YaBB.pl?num=1367588490

Message started by ss78 on May 3rd, 2013, 6:41am

Title: frequency variable in spectre/verilogA
Post by ss78 on May 3rd, 2013, 6:41am

Hi all.
First off, thanks for this wonderful forum.
This is my first post here.

Is there a way to write frequency dependent
functions with a possibilty to run transient and AC simulations?

For example an equation like this :

H(f) = 10 ^ ( 0.1*sqrt(f)+0.001*f+0.0025/sqrt(f))

Where f is the frequency variable and H(f) is the
transfer function.

Cant it be expressed in verilogA/Spectre so that I can
run AC and tran runs on it?

Thanks
ss78

Title: Re: frequency variable in spectre/verilogA
Post by raja.cedt on May 3rd, 2013, 7:46am

Hello,
Have look on fracpole doc from this site, which allows you to create some frequency dependent function. I donno if it fits for you or  not

http://www.designers-guide.org/Modeling/suite.pdf

Thanks,
Raj.

The Designer's Guide Community Forum » Powered by YaBB 2.2.2!
YaBB © 2000-2008. All Rights Reserved.