The Designer's Guide Community Forum
https://designers-guide.org/forum/YaBB.pl
Analog Verification >> Analog Functional Verification >> Module access to instance name
https://designers-guide.org/forum/YaBB.pl?num=1380753001

Message started by InTheMiddle on Oct 2nd, 2013, 3:30pm

Title: Module access to instance name
Post by InTheMiddle on Oct 2nd, 2013, 3:30pm

Is there a way for the module to access it's instance name and display in the standard output?

Thanks in advance.

Regards,

InTheMiddle

Title: Re: Module access to instance name
Post by Andrew Beckett on Dec 27th, 2013, 1:38pm

In Verilog (or Verilog-AMS) you can use: $strobe("My name is %M"); to do this.

Regards,

Andrew.

The Designer's Guide Community Forum » Powered by YaBB 2.2.2!
YaBB © 2000-2008. All Rights Reserved.