The Designer's Guide Community Forum
https://designers-guide.org/forum/YaBB.pl
Simulators >> AMS Simulators >> Does spectreVerilog only support Verilog module with clk under 1GHz?
https://designers-guide.org/forum/YaBB.pl?num=1380879185

Message started by Lynn Lou on Oct 4th, 2013, 2:33am

Title: Does spectreVerilog only support Verilog module with clk under 1GHz?
Post by Lynn Lou on Oct 4th, 2013, 2:33am

Hi all,
I was doing a RF/Digital-Mixed simulation, in which I employed a counter to evaluate the divided RF signal. However, I found the counter gives a wrong value. At first, I thought the verilog code of the counter maybe has some faults. Eventually, when I lower the input signal frequency to 0.9GHz, the counter output the right value.

Does the spectreVerilog only support the clk of the digital module under, say, 1GHz?

Thanks.

Title: Re: Does spectreVerilog only support Verilog module with clk under 1GHz?
Post by Lynn Lou on Oct 8th, 2013, 5:12am

Hi everyone,

I found the Verilog-XL (which is employed by spectreVerilog ) exhibits a default delay of 1ns. That's maybe the reason why the counter just output no more than 500MHz freqency.

There must be options to change the default delay(i.e. 1ns) somewhere. Could anyone give me a hint, please?

Thanks a lot!

Title: Re: Does spectreVerilog only support Verilog module with clk under 1GHz?
Post by Lynn Lou on Oct 8th, 2013, 5:59am

Hi,

I just set the "Global Sim Time" and "Global Sim Precision" from 1ns(default) to 1ps, and the problem solved. The two parameters are under ADE: "Setup"->"Environment" ->" Verilog Netlist Option".

Thank you all :)

The Designer's Guide Community Forum » Powered by YaBB 2.2.2!
YaBB © 2000-2008. All Rights Reserved.