The Designer's Guide Community Forum
https://designers-guide.org/forum/YaBB.pl
Design Languages >> Verilog-AMS >> AMBA architecture
https://designers-guide.org/forum/YaBB.pl?num=1444735210

Message started by sai ganesh on Oct 13th, 2015, 4:20am

Title: AMBA architecture
Post by sai ganesh on Oct 13th, 2015, 4:20am


In AHB protocol i have address and data . When i am testing that the address should get in one clock and the data should get after one clock period of address . Can any one tell me about this . please immediately.

if possible send me a test bench code for AHB protocol.for both master and slave.

The Designer's Guide Community Forum » Powered by YaBB 2.2.2!
YaBB © 2000-2008. All Rights Reserved.