The Designer's Guide Community
Forum
Welcome, Guest. Please Login or Register. Please follow the Forum guidelines.
Apr 18th, 2024, 7:34pm
Pages: 1
Send Topic Print
Pattern Generator Model for Jitter-Tolerance Sim (Read 4380 times)
Forum Administrator
YaBB Administrator
*****
Offline



Posts: 145

Pattern Generator Model for Jitter-Tolerance Sim
Nov 5th, 2006, 10:42am
 
Sometime ago when I uploaded a new paper to the site, I forgot to mention it. This post is to correct this lapse.

Paul Muller and Yusuf Leblebici (http://www.designers-guide.org/Modeling/JTOL_rev1.0.pdf) discuss the behavioral modeling of a pattern generator including various types of data jitter for jitter tolerance analysis of high-speed serial link receivers during the design phase. The presented model can be used both during the system-level design exploration and the following transistor-level design phases. First, jitter tolerance of clock recovery circuits is explained and the different natures of jitter applied to the data test pattern are introduced. Then, a behavioral model of the serial data pattern generator is presented, which includes these jitter components and delivers the jitter-affected data used to stimulate the receiver’s clock recovery circuit. Finally, the detailed VHDL-AMS model is introduced and its parameter definitions are discussed.
Back to top
 
« Last Edit: Nov 05th, 2006, 9:12pm by Forum Administrator »  
View Profile WWW   IP Logged
Pages: 1
Send Topic Print
Copyright 2002-2024 Designer’s Guide Consulting, Inc. Designer’s Guide® is a registered trademark of Designer’s Guide Consulting, Inc. All rights reserved. Send comments or questions to editor@designers-guide.org. Consider submitting a paper or model.