The Designer's Guide Community
Forum
Welcome, Guest. Please Login or Register. Please follow the Forum guidelines.
Jul 17th, 2024, 5:36am
Pages: 1
Send Topic Print
VHDL-AMS cell in AMS-Designer - problem (Read 2841 times)
Pavel
Senior Member
****
Offline



Posts: 174
Lausanne/Switzerland
VHDL-AMS cell in AMS-Designer - problem
Jul 02nd, 2008, 5:34am
 
Hello,

Trying to "Design Prep" of very simple design that consisists of one cell - VHDL-AMS model of sinus generator, tool outputs error message:

"VHDL compile skipped for cellview LIB1.cell1:entity
because view name is 'entity"


View list: entity, schematic, symbol.

What this error means?

Best Regards.

Pavel.
Back to top
 
 
View Profile   IP Logged
Stefan
Senior Member
****
Offline



Posts: 124

Re: VHDL-AMS cell in AMS-Designer - problem
Reply #1 - Jul 2nd, 2008, 5:40am
 
Did you include an architecture for your entity ?
Back to top
 
 
View Profile 16731287   IP Logged
Pavel
Senior Member
****
Offline



Posts: 174
Lausanne/Switzerland
Re: VHDL-AMS cell in AMS-Designer - problem
Reply #2 - Jul 2nd, 2008, 6:00am
 
Thank you for answer Stefan.

I tried also with architecture

View list: entity, arch1, schematic, symbol

where arch1 - architecture view.

Nothing changed.
Back to top
 
 
View Profile   IP Logged
Pages: 1
Send Topic Print
Copyright 2002-2024 Designer’s Guide Consulting, Inc. Designer’s Guide® is a registered trademark of Designer’s Guide Consulting, Inc. All rights reserved. Send comments or questions to editor@designers-guide.org. Consider submitting a paper or model.