The Designer's Guide Community
Forum
Welcome, Guest. Please Login or Register. Please follow the Forum guidelines.
Jul 17th, 2024, 12:33am
Pages: 1
Send Topic Print
Include file with relative path (Read 4362 times)
IJnte
New Member
*
Offline



Posts: 5
Netherlands
Include file with relative path
Nov 25th, 2008, 11:49pm
 
Dear All,

At this moment we have created a include file which contains all sorts of Tasks. The file looks like this:
Code:
task dothis;
....
endtask

task dothat;
....
endtask
 


If i want to include this to my project file, i will have to include the file after the module's header:
Code:
module Test(in, out);
`include "/home/ijnte/Desktop/VerilogAMS/tasks.vams"
.... 


Within the include statement quotes, i need to fill in the absolute path to the file that needs to be included.
Is there a way to use an relative path with linux variabels (or SKILL variable) in stead of the absolute path? So something that looks like this:
Code:
`include "${HOME}/tasks.vams" 


Where home is specified as "/home/ijnte/Dekstop/VerilogAMS"

I would like to work with an relative path, because i can store this file within the Cadence environment, so everyone can use and acces the file.
Maybe somebody has another idea, how to make this include file available for everyone. It should be placed on a default location, but a the path to this location is not fixed (it could be /workspace/user1/technology or /workspace/user2/technology)

An other option is to attach it to each library, but this is a very time consuming job Smiley

Thank you all in advance!

Gr IJnte
Back to top
 
 
View Profile   IP Logged
Andrew Beckett
Senior Fellow
******
Offline

Life, don't talk to
me about Life...

Posts: 1742
Bracknell, UK
Re: Include file with relative path
Reply #1 - Jan 5th, 2009, 6:49am
 
In ADE this is under Simulation->Options->Compiler: Include Path.
If using OSS netlisting (rather than cell-based), it's under Simulation->Options->irun then in the Compiler Options button at the bottom of the form.
If using the Hierarchy Editor plugin flow, it's under Options->Compiler->Verilog-AMS->Macros/Includes .

It corresponds to the -INCDIR argument to ncvlog.

Regards,

Andrew.
Back to top
 
 
View Profile WWW   IP Logged
Pages: 1
Send Topic Print
Copyright 2002-2024 Designer’s Guide Consulting, Inc. Designer’s Guide® is a registered trademark of Designer’s Guide Consulting, Inc. All rights reserved. Send comments or questions to editor@designers-guide.org. Consider submitting a paper or model.