The Designer's Guide Community
Forum
Welcome, Guest. Please Login or Register. Please follow the Forum guidelines.
Jul 16th, 2024, 9:21pm
Pages: 1
Send Topic Print
NCSIM in Cadence (Read 5102 times)
Prateek
New Member
*
Offline



Posts: 3
Mumbai
NCSIM in Cadence
Mar 23rd, 2009, 3:50am
 
hi,  we have cadence in our college, but ncsim doesnt work on it, are there different versions of cadence, where on some there is nc sim installed and on some it is not.

If yes, can i still simulate verilog-ams file on it? and how
please answer.... Smiley
it will be very helpful
Back to top
 
 
View Profile Prateek blm_psm   IP Logged
Andrew Beckett
Senior Fellow
******
Offline

Life, don't talk to
me about Life...

Posts: 1742
Bracknell, UK
Re: NCSIM in Cadence
Reply #1 - Mar 23rd, 2009, 10:07am
 
Verilog-AMS models are simulated using AMS Designer, which is included in the "IUS" stream of software (called "LDV" in earlier versions). The simulator is invoked using ncsim (often launched from either ADE, or from the hierarchy editor plugin within Virtuoso, or from the command line).

If you want to use a Cadence mixed-signal simulator that supports Verilog-AMS, you'll need AMS Designer, and so you'll need access to "ncsim".

Regards,

Andrew.
Back to top
 
 
View Profile WWW   IP Logged
Geoffrey_Coram
Senior Fellow
******
Offline



Posts: 1999
Massachusetts, USA
Re: NCSIM in Cadence
Reply #2 - Mar 26th, 2009, 6:09am
 
Are you using any AMS features?  You can run Verilog-A models in Spectre, without AMS Designer or ncsim.
Back to top
 
 

If at first you do succeed, STOP, raise your standards, and stop wasting your time.
View Profile WWW   IP Logged
Pages: 1
Send Topic Print
Copyright 2002-2024 Designer’s Guide Consulting, Inc. Designer’s Guide® is a registered trademark of Designer’s Guide Consulting, Inc. All rights reserved. Send comments or questions to editor@designers-guide.org. Consider submitting a paper or model.