The Designer's Guide Community
Forum
Welcome, Guest. Please Login or Register. Please follow the Forum guidelines.
Jul 19th, 2024, 2:37am
Pages: 1
Send Topic Print
Power connection for verilog modules (Read 2170 times)
R. Zakai
Junior Member
**
Offline



Posts: 20

Power connection for verilog modules
Apr 13th, 2009, 5:06pm
 
I have what might be a very basic question for most of you.

I can not seem to get vdd/gnd delivered to the verilog blocks in my AMS test bench. The verilog code has no mention of supply connections so I thought it must be defined implicitly through the netlister options in the ADE window but that does not help either. Digital components in my test bench appears to be unpowered. Is there some other include file or setup step I am missing here? Here is a basic code example. It might give people here more clues as to what I am doing wrong or missing.

Thanks,
Rehan


// Created by ihdl
`timescale 1ns/1ps

`celldefine

module inv0d0 (ZN, I);
     output ZN;
     input I;
`protect

     specify
           // Pin-to-pin timing.
     (I => ZN) = (`inv0d0_i_hl_zn_lh,`inv0d0_i_lh_zn_hl);
     endspecify

     // Gate-level description.
     not _i0 (ZN,I);

`endprotect
endmodule

`endcelldefine
Back to top
 
 
View Profile   IP Logged
boe
Community Fellow
*****
Offline



Posts: 615

Re: Power connection for verilog modules
Reply #1 - Apr 15th, 2009, 7:01am
 
R. Zakai,
Verilog is quite old and purely digital, so signal levels are modeled as  LOW, HIGH, X and Z (different drive strengths are possible for all, though), independent of supply voltage...
Std cell gates usually have global nets for the supplies and you need them for
* LVS, or
* interfaces between analog & digital.
And interface handling depends on the tools you use and your technology/PDK - and why do you need them anyway? Do you have different digital supplies?
B.O.E
Back to top
 
 
View Profile   IP Logged
Pages: 1
Send Topic Print
Copyright 2002-2024 Designer’s Guide Consulting, Inc. Designer’s Guide® is a registered trademark of Designer’s Guide Consulting, Inc. All rights reserved. Send comments or questions to editor@designers-guide.org. Consider submitting a paper or model.