The Designer's Guide Community
Forum
Welcome, Guest. Please Login or Register. Please follow the Forum guidelines.
Jul 17th, 2024, 12:23pm
Pages: 1
Send Topic Print
port probes: VerilogAMS vs VerilogA (Read 3490 times)
Misha
New Member
*
Offline



Posts: 6

port probes: VerilogAMS vs VerilogA
Jul 06th, 2010, 5:03am
 
Hello,
I have a VerilogA model that is working well in Spectre/APS.  How I'm trying to simulate the design with Cadence AMS and get an error during the elaboration stage:
Quote:
     B = I(<mp>)/area;
         |
ncelab: *E,SYERROR (...<mypath>/veriloga/verilog.vams,102|10): Port probes are not supported in modules with hierarchy.


This a cell with some RLC components instantiated and in the code I'm trying to sens the current through one terminal.  In the verilogA code this line uses the following syntax:
  B = I(mp,mp) / area;

I tried naming this branch explicitly, but it does not help.
Any idea how I can work around this?

Thanks a lot in advance!

Misha
Back to top
 
 
View Profile   IP Logged
Geoffrey_Coram
Senior Fellow
******
Offline



Posts: 1999
Massachusetts, USA
Re: port probes: VerilogAMS vs VerilogA
Reply #1 - Jul 6th, 2010, 11:18am
 
You probably have to go in and create an internal node

module yourmod(mp, ...)
inout mp, ...;
electrical mp, ...;
electrical mp_int;

B = I(mp, mp_int) / area;

and then connect all the internal hierarchy to mp_int instead of mp.
Back to top
 
 

If at first you do succeed, STOP, raise your standards, and stop wasting your time.
View Profile WWW   IP Logged
Misha
New Member
*
Offline



Posts: 6

Re: port probes: VerilogAMS vs VerilogA
Reply #2 - Jul 8th, 2010, 6:47am
 
Thank you for the suggestion.  It does work. But the problem turned out to be slightly different.  In a different location of the code I had the same line with an addition originating from the ahdllib code that I used as a base for my model:
Quote:
`ifdef __VAMS_ENABLE__
     B = I(<mp>)/area;
`else
     B = I(mp,mn)/area;
`endif


I guess it's a bug - the AMS simulator takes the first syntax but it actually is compliant with the second, same as the good old Spectre.  I guess the Cadence's ahdllib was never QC'd with AMS simulator.  Watch out for that VAMS_ENABLE macro Smiley.

Thanks anyway for the advice,
Misha
Thanks
Back to top
 
 
View Profile   IP Logged
Pages: 1
Send Topic Print
Copyright 2002-2024 Designer’s Guide Consulting, Inc. Designer’s Guide® is a registered trademark of Designer’s Guide Consulting, Inc. All rights reserved. Send comments or questions to editor@designers-guide.org. Consider submitting a paper or model.