The Designer's Guide Community
Forum
Welcome, Guest. Please Login or Register. Please follow the Forum guidelines.
Aug 16th, 2024, 9:15pm
Pages: 1
Send Topic Print
Help me to add a Verilog A block in ADS2005A?? (Read 2288 times)
ahmad_abdulghany
New Member
*
Offline



Posts: 4

Help me to add a Verilog A block in ADS2005A??
Apr 18th, 2006, 5:12pm
 
Hello every body,
Can anyone give me steps to follow to be able to add a Verilog-A model in ADS2005? I read Verilog-A manuals that came with ADS2005A, and I did the following:
- Installing Verilog-A design kit
- I wrote my Verilog-A module (e.g. for a VCO.va)
- I copied that *.va file in a folder named "veriloga", i created it in my project directory.
- I added "Verilog-A load" from "Devices Verilog-A" palette.
- From "Verilog-A load" properties, i wrote "VCO.va" in the field: "Module file name" and hitted "add", then OK.

Now, I want to know how to do the following:
- I want to add, draw, or generate a block that has inputs and output ports like what is in my model, how can i do that?
- Is there any method with which i can let ADS generate the basic code of the new component, i.e. the entity or describtion of its input and output ports as well as the "include" lines? How to do?
- After I got a "rectangular bloack" that has inside my model, can i see my "parameters" in my model to edit them as i do for any other components? How can i see that parameters?

Please reply as soon as possible,
Thanks alot in advance,
Ahmad

Back to top
 
 
View Profile   IP Logged
pancho_hideboo
Senior Fellow
******
Offline



Posts: 1424
Real Homeless
Re: Help me to add a Verilog A block in ADS2005A??
Reply #1 - Apr 19th, 2006, 1:07am
 
Hi.

If you are supported user of ADS, you had better post this to "Discussion Formus" in
Agilent EEsof Knowledge Center.

http://eesof.tm.agilent.com/support/
Back to top
 
 
View Profile WWW Top+Secret Top+Secret   IP Logged
Pages: 1
Send Topic Print
Copyright 2002-2024 Designer’s Guide Consulting, Inc. Designer’s Guide® is a registered trademark of Designer’s Guide Consulting, Inc. All rights reserved. Send comments or questions to editor@designers-guide.org. Consider submitting a paper or model.