The Designer's Guide Community
Forum
Welcome, Guest. Please Login or Register. Please follow the Forum guidelines.
May 4th, 2024, 8:43am
Pages: 1
Send Topic Print
Verilog-In failed (Read 6324 times)
Pavel
Senior Member
****
Offline



Posts: 174
Lausanne/Switzerland
Verilog-In failed
Nov 27th, 2006, 1:38am
 
Hello

Trying to import design coded in verilog (developed in PC-based design flow) using
Cadence Verilog-In facility I receive a number of the warning messages like this:

Warning! Code following `include command is ignored              [Van-CAICI]  
"/site/proj/src/mlx_topdig.v", 95:


I checked paths in `include directives. They are correct.

Best regards.

Pavel.
Back to top
 
 
View Profile   IP Logged
krishnap
Community Member
***
Offline



Posts: 55

Re: Verilog-In failed
Reply #1 - Dec 11th, 2006, 4:36am
 
Hi  Povel,

Please check whether Reference Library is filled in correctly for the base cells,
which are used in the verilog netlist.
i.e, whether the Reference library has all the necesary views like sch, symbol etc, for the gates
used in the verilog netlist.

Thanks and regards ,
krishna

Back to top
 
 
View Profile   IP Logged
Pavel
Senior Member
****
Offline



Posts: 174
Lausanne/Switzerland
Re: Verilog-In failed
Reply #2 - Dec 18th, 2006, 2:35am
 
Hello Krishna
Thank you for response.

The problem is already resolved. File mentioned in include directive contained
Verilog-2001 syntax wich isn't supported by our tool.
I changed it and then import passed.
Concernig
Quote:
whether the Reference library has all the necesary views like sch, symbol etc, for the gates
used in the verilog netlist

it's not really important as one can import verilog as functionnal view.
Moreover, the tool (Verilog-In) is capable to create view for each module contained in huge verilog source and
create symbol for each module.

Regards.

Pavel.
Back to top
 
 
View Profile   IP Logged
zhong
Community Member
***
Offline



Posts: 36
California , United States
Re: Verilog-In failed
Reply #3 - Dec 19th, 2006, 10:55pm
 
Pavel,
If my guess is correct then you are  using the IC5141 version older than USR4. The errors messages show that the default verilog parser is still using VAN instead of ncvlog .  In USR4 the default paser is ncvlog then you will not see this error during verilogIn.

If you are using old version of IC5141 you can also turn the paser to ncvlog by setup skill variable in CIW   schHdlUseNcvlogForVerilog = t

BTW,  Besides verilogIn , The other way to bring the RTL or gate level verilog text INTO DFII lib is to run ncvlog compilation . for example
ncvlog -use5x -work my_d_lib  -view module  my_verilog_file.v



Back to top
 
 
View Profile   IP Logged
Pavel
Senior Member
****
Offline



Posts: 174
Lausanne/Switzerland
Re: Verilog-In failed
Reply #4 - Dec 28th, 2006, 4:41am
 
Smiley
Thanks
Back to top
 
 
View Profile   IP Logged
Pages: 1
Send Topic Print
Copyright 2002-2024 Designer’s Guide Consulting, Inc. Designer’s Guide® is a registered trademark of Designer’s Guide Consulting, Inc. All rights reserved. Send comments or questions to editor@designers-guide.org. Consider submitting a paper or model.