The Designer's Guide Community
Forum
Welcome, Guest. Please Login or Register. Please follow the Forum guidelines.
Jul 16th, 2024, 3:51pm
Pages: 1
Send Topic Print
Frequency change measurement in time domain (Read 771 times)
Amit Singh
New Member
*
Offline



Posts: 6

Frequency change measurement in time domain
Mar 03rd, 2007, 9:24pm
 
Hi,
   I was simulating the VCO verilog model. I would like to know is there a verilog program or a script which can be run in spectre to measure the frequncy change in the time domain. That is as the control voltage of the VCO changes , VCO output signal frequency changes and i want to have a plot which shows how the frequency changes in the time domain.
  Thanks for the quick reply.

Best Regards
Back to top
 
 
View Profile   IP Logged
Andrew Beckett
Senior Fellow
******
Offline

Life, don't talk to
me about Life...

Posts: 1742
Bracknell, UK
Re: Frequency change measurement in time domain
Reply #1 - Mar 5th, 2007, 11:03am
 
You can use the freq_meter component from ahdlLib - this will output the instantaneous frequency. Also, the calculator has a means of plotting instantaneous frequency these days (but you're better off using freq_meter or something like it, because it will solve for the crossing point and hence get the frequency more accurate than if it is interpolated by the calculator). You might want to look at sourcelink solution 11015938 - probably needs to be updated (by me, since I wrote it) to reflect the fact that the calculator has a built in instantaneous frequency measurement function (think it's just called "freq" - can't remember off the top of my head).

Andrew.
Back to top
 
 
View Profile WWW   IP Logged
Pages: 1
Send Topic Print
Copyright 2002-2024 Designer’s Guide Consulting, Inc. Designer’s Guide® is a registered trademark of Designer’s Guide Consulting, Inc. All rights reserved. Send comments or questions to editor@designers-guide.org. Consider submitting a paper or model.