The Designer's Guide Community
Forum
Welcome, Guest. Please Login or Register. Please follow the Forum guidelines.
Jul 16th, 2024, 4:37pm
Pages: 1
Send Topic Print
Specifying verilog library (Read 1140 times)
rajdeep
Senior Member
****
Offline



Posts: 220
UK
Specifying verilog library
May 31st, 2007, 11:46am
 
Hello all,

I'm trying to run a mixed signal simulation from command line.
I have been using commands like ncvlog, ncelab and ncsim as given in the verilog-AMS LRM.
I need to use a verilog library which has functionalities of all the basic gates defined. But I'm not sure
where to specify it! Currently I'm compiling all these library files as other design modules.
But is it the correct way? Since, I'm defining a library, shouldn't I specify it in cds.lib or may be hdl.var?

Another problem is that modules which have subcircuit definition only are not picking up these verilog libraries for the logic gates, instantiated in them. How can I make the simulator understand that the logic gates' verilog views are compiled..use that.
Remember, I'm trying to do all these from command line. I was able to do all these using config view. But how to do the same using ncsim?

Rajdeep
Back to top
 
« Last Edit: Jun 01st, 2007, 5:19am by rajdeep »  

Design is fun, verification is a requirement.
View Profile   IP Logged
Pages: 1
Send Topic Print
Copyright 2002-2024 Designer’s Guide Consulting, Inc. Designer’s Guide® is a registered trademark of Designer’s Guide Consulting, Inc. All rights reserved. Send comments or questions to editor@designers-guide.org. Consider submitting a paper or model.