The Designer's Guide Community
Forum
Welcome, Guest. Please Login or Register. Please follow the Forum guidelines.
Jul 17th, 2024, 4:22pm
Pages: 1 2 
Send Topic Print
Help with test bench (Read 10146 times)
Geoffrey_Coram
Senior Fellow
******
Offline



Posts: 1999
Massachusetts, USA
Re: Help with test bench
Reply #15 - Jul 09th, 2007, 6:30am
 
In several of the segments, you have intermediate results, eg binaryToBSeg has p1 and p2.  Do you need to provide some sort of declaration for them?
Back to top
 
 

If at first you do succeed, STOP, raise your standards, and stop wasting your time.
View Profile WWW   IP Logged
boe
Community Fellow
*****
Offline



Posts: 615

Re: Help with test bench
Reply #16 - Jul 9th, 2007, 7:36am
 
Geoffrey_Coram wrote on Jul 9th, 2007, 6:30am:
In several of the segments, you have intermediate results, eg binaryToBSeg has p1 and p2.  Do you need to provide some sort of declaration for them?
Cadence tools (V-XL and NCSim) do not require this. All variables that have not been specified are implicitly declared as scalar wires.
I haven't checked the Verilog standard, though...

BOE
Back to top
 
 
View Profile   IP Logged
Pages: 1 2 
Send Topic Print
Copyright 2002-2024 Designer’s Guide Consulting, Inc. Designer’s Guide® is a registered trademark of Designer’s Guide Consulting, Inc. All rights reserved. Send comments or questions to editor@designers-guide.org. Consider submitting a paper or model.