The Designer's Guide Community
Forum
Welcome, Guest. Please Login or Register. Please follow the Forum guidelines.
Jul 16th, 2024, 8:36pm
Pages: 1
Send Topic Print
verilogAMS event-OR (Read 2375 times)
Seppo
New Member
*
Offline



Posts: 2

verilogAMS event-OR
Jul 03rd, 2007, 8:59am
 
In plain verilog,

always@(b1 or b2 or b3)

will detect changes in b1, b2 or b3.

If I write

logic b1, b2, b3;

analog begin
@(b1 or b2 or b3) blah;
end


The elaborator complains that the left operand of type integer is not supported for operator "Event OR".
Writing:

analog begin
@(b1 || b2 || b3) blah;
end


works, but it not the same.

How can I get event-OR to work within an analog block?

Thanks,

Seb
Back to top
 
 
View Profile   IP Logged
boe
Community Fellow
*****
Offline



Posts: 615

Re: verilogAMS event-OR
Reply #1 - Jul 3rd, 2007, 11:02am
 
Hi Seb,
I haven't tested this, but have you tried declaring b1, b2, b3 as wires or regs instead of integers?

BOE
Back to top
 
 
View Profile   IP Logged
Seppo
New Member
*
Offline



Posts: 2

Re: verilogAMS event-OR
Reply #2 - Aug 15th, 2007, 6:03am
 
It turns out that digital lines are converted to integers within an analogue block, which gives raise to the problem I commented.

The bug in verilogAMS has been solved newer versions of IUS.

Back to top
 
 
View Profile   IP Logged
Pages: 1
Send Topic Print
Copyright 2002-2024 Designer’s Guide Consulting, Inc. Designer’s Guide® is a registered trademark of Designer’s Guide Consulting, Inc. All rights reserved. Send comments or questions to editor@designers-guide.org. Consider submitting a paper or model.