The Designer's Guide Community
Forum
Welcome, Guest. Please Login or Register. Please follow the Forum guidelines.
Jul 19th, 2024, 2:33am
Pages: 1
Send Topic Print
verilog block trigger on wrong evnt SpectreVerilog (Read 1538 times)
sofiap
New Member
*
Offline



Posts: 1

verilog block trigger on wrong evnt SpectreVerilog
Feb 14th, 2008, 11:25am
 
Hi everybody,
I was trying to simulate a PLL circuit using SpectreVerilog. The digital block is a verilog model that reads in an array of 5bit data from a file. This block outputs the 5bit data at the rising edge of an input clock. Essentially I want the block to act like a shift register (and the 5bit data is used to control my frequency divider in the PLL). What I found was the 5bit output changes state not only on the rising edge of the input clock, occasionally, it also changes state on the falling edges. It appears that these falling edge events only happen if the  input clock has a slow edge (say fall time of 300ps). Does anyone know what's causing these miss triggered events?

The verilog model is a simple $readmemb plus an always @ (posedge clk).  
I also tried with different interface element a2d parameters, but made no difference.

Please help.
Thank you!
sofiap
 
Back to top
 
 
View Profile   IP Logged
Pages: 1
Send Topic Print
Copyright 2002-2024 Designer’s Guide Consulting, Inc. Designer’s Guide® is a registered trademark of Designer’s Guide Consulting, Inc. All rights reserved. Send comments or questions to editor@designers-guide.org. Consider submitting a paper or model.