The Designer's Guide Community
Forum
Welcome, Guest. Please Login or Register. Please follow the Forum guidelines.
Jul 17th, 2024, 2:27am
Pages: 1
Send Topic Print
[Q] Verilog-A Modeling (Read 1826 times)
kidhyun
Junior Member
**
Offline



Posts: 14

[Q] Verilog-A Modeling
Oct 06th, 2008, 5:25pm
 
Hi,

   In a test bench for circuit, I added Verilog-A block which does only

>   parameter real offset = 0;
>   analog
>            V(out) <+ V(in) + offset;

   ,where the offset is by default set to 0 in my simulation.
   (I inserted this block in some place in the circuit)

   I assumed that this block would not make any difference in the simulation result.

   But when I checked the spectrum (using psd) there was significant difference between results with and without this block.

   Just the existence of this block affect the time step in solving the node equations?

Thank You


 
Back to top
 
 
View Profile   IP Logged
jbdavid
Community Fellow
*****
Offline



Posts: 378
Silicon Valley
Re: [Q] Verilog-A Modeling
Reply #1 - Oct 6th, 2008, 9:37pm
 
I'm going to go out on a limb here, and GUESS that by
"without" this circuit, you just had a wire ?

which would mean that you would have had a voltage, and, as needed current along that wire..

with this module you have, effectively an ideal VCVS with the control voltage being the input + offset..
Quite a different thing than

V(in,out) <+ offset;

which defines a branch between in, and out, with a fixed voltage (maybe 0) leaving its current unconstrained.. which will behave MUCH more like a wire..

HTH.
Back to top
 
 

jbdavid
Mixed Signal Design Verification
View Profile WWW   IP Logged
kidhyun
Junior Member
**
Offline



Posts: 14

Re: [Q] Verilog-A Modeling
Reply #2 - Oct 6th, 2008, 11:51pm
 
Thank you. I think I made a mistake there. It works fine now.
Back to top
 
 
View Profile   IP Logged
Pages: 1
Send Topic Print
Copyright 2002-2024 Designer’s Guide Consulting, Inc. Designer’s Guide® is a registered trademark of Designer’s Guide Consulting, Inc. All rights reserved. Send comments or questions to editor@designers-guide.org. Consider submitting a paper or model.