The Designer's Guide Community
Forum
Welcome, Guest. Please Login or Register. Please follow the Forum guidelines.
Jul 18th, 2024, 4:34am
Pages: 1
Send Topic Print
cadence ams simulator (Read 97 times)
godfather
Community Member
***
Offline



Posts: 36

cadence ams simulator
Sep 11th, 2009, 1:08pm
 
hello all,
I want to know does the latest ams package from cadence supports the vhdl-ams completely, i mean just like verilog-ams.

thx a lot...
Back to top
 
 
View Profile   IP Logged
Riad KACED
Community Member
***
Offline



Posts: 93
Swindon, UK
Re: cadence ams simulator
Reply #1 - Sep 21st, 2009, 12:53pm
 
Hi Godfather,

I know of couple of limitations in the support of VHDL/VHDLAMS in AMS Designer. But I think that the new OSS based netlister use model (the 1-step irun) is better in handeling VHDL-AMS.

Have you hit any show stopper using VHDL-AMS in AMS Designer ?

Cheers,
Riad.
Back to top
 
 

Riad KACED
PDK, EDA Support Engineer.
View Profile   IP Logged
Pages: 1
Send Topic Print
Copyright 2002-2024 Designer’s Guide Consulting, Inc. Designer’s Guide® is a registered trademark of Designer’s Guide Consulting, Inc. All rights reserved. Send comments or questions to editor@designers-guide.org. Consider submitting a paper or model.