The Designer's Guide Community
Forum
Welcome, Guest. Please Login or Register. Please follow the Forum guidelines.
Jul 18th, 2024, 12:36pm
Pages: 1
Send Topic Print
cadence verilog ams environment setup question (Read 5313 times)
JT_BB
New Member
*
Offline



Posts: 1

cadence verilog ams environment setup question
Jun 04th, 2010, 2:16pm
 
I am new to verilog-ams. I am trying to use cadence verilog-ams, but I am getting the following error when creating and saving a verilog-ams cellview.

ncvlog: 07.11-s017: (c) Copyright 1995-2008 Cadence Design Systems, Inc.
`include "constants.vams"
                       |
ncvlog: *E,COFILX (/tmp/wtam/pdk/AMS_tutorial/a_d/verilogams/verilog.vams,3|24): cannot open include file '/usr/cds/ic-6.13/tools/spectre/etc/ahdl/constants.vams'.
`include "disciplines.vams"
                         |
ncvlog: *E,COFILX (/tmp/wtam/pdk/AMS_tutorial/a_d/verilogams/verilog.vams,4|26): cannot open include file '/usr/cds/ic-6.13/tools/spectre/etc/ahdl/disciplines.vams'.


It seems that the environment is not set up correctly such that the it is looking for include files at /usr/cds/ic-6.13/tools/spectre/etc/ahdl, which no longer exists in ic6. In my environment setup I have already included:

setenv CDSDIR /usr/cds/ic-6.13
setenv MMSIMDIR /usr/cds/mmsim-7.11
setenv ASSURAHOME /usr/cds/assura-4.1
setenv AMSHOME /usr/cds/ius-8.20

setenv PATH "${MMSIMDIR}/tools/bin:${CDSDIR}/tools/dfII/bin:${CDSDIR}/tools/bin:${PATH}"

setenv PATH "${AMSHOME}/tools/bin:${AMSHOME}/tools/dfII/bin:${AMSHOME}/tools/simvision/bin:$
{PATH}"


Can someone tell me what is missing?

Thanks a lot!!




Back to top
 
 
View Profile   IP Logged
Pages: 1
Send Topic Print
Copyright 2002-2024 Designer’s Guide Consulting, Inc. Designer’s Guide® is a registered trademark of Designer’s Guide Consulting, Inc. All rights reserved. Send comments or questions to editor@designers-guide.org. Consider submitting a paper or model.