The Designer's Guide Community
Forum
Welcome, Guest. Please Login or Register. Please follow the Forum guidelines.
Jul 17th, 2024, 12:42pm
Pages: 1
Send Topic Print
problem in compling verilog.vams code in cadence (Read 781 times)
Amit_Analog
New Member
*
Offline



Posts: 4

problem in compling verilog.vams code in cadence
Sep 29th, 2010, 4:28am
 
Hi all,

When I compile my verilog.vams code in cadence, it gives the following error.

VAMS *E EPDS: parsing of verilog-ams file failed

Please let me know how to solve this problem.

In more detail:

I have a veriloga code, which has always statement inside ( so I guess this can only be simulated using verilog-ams). Hence when creating cellview, i choose the view as verilogams instead of veriloga. After exiting from the vi editor the icfb gives following error

VAMS *E EPDS: parsing of verilog-ams file failed

Please tell me solution for this problem. Do I have to change something in cadence setup?

Thanks a lot.

Regards,
Amit





Back to top
 
 
View Profile   IP Logged
Geoffrey_Coram
Senior Fellow
******
Offline



Posts: 1999
Massachusetts, USA
Re: problem in compling verilog.vams code in cadence
Reply #1 - Sep 29th, 2010, 8:42am
 
Amit_Analog wrote on Sep 29th, 2010, 4:28am:
When I compile my verilog.vams code in cadence


Cadence is a company; please tell us what tool/simulator.

Quote:
VAMS *E EPDS: parsing of verilog-ams file failed


That sounds like a typo in your source code.  Doesn't it point you to a line number or anything?
Back to top
 
 

If at first you do succeed, STOP, raise your standards, and stop wasting your time.
View Profile WWW   IP Logged
Amit_Analog
New Member
*
Offline



Posts: 4

Re: problem in compling verilog.vams code in cadence
Reply #2 - Sep 29th, 2010, 11:15pm
 
Hi Geoffrey_Coram

thanks a lot for your reply.

1. I am using icfb to  do it. I am not sure how it is linked to compiler. I create a cell view from library manager and create verilogams cell view. And after writing the code into it i close it and then it gives the error which i mentioned.

2. I think there is no typo, because it is happening with any code.

3. When i close the file there is something else also appearing in the command promot like this:
sh: /net/chopin/cad/cadence2/ius61/tools/bin/ncvlog : can not execute binary file

4. Is there any thing liks VAMS_ENABLE micro that we have to set.

Regards,
Amit


Back to top
 
 
View Profile   IP Logged
Geoffrey_Coram
Senior Fellow
******
Offline



Posts: 1999
Massachusetts, USA
Re: problem in compling verilog.vams code in cadence
Reply #3 - Sep 30th, 2010, 6:20am
 
Amit_Analog wrote on Sep 29th, 2010, 11:15pm:
3. When i close the file there is something else also appearing in the command promot like this:
sh: /net/chopin/cad/cadence2/ius61/tools/bin/ncvlog : can not execute binary file


This is probably the first thing to address.  Perhaps icfb is getting a non-zero exit status (error) when trying to run ncvlog, and it thinks that means ncvlog couldn't parse the code, but it really means that it didn't run at all.

Is that path correct?  Are you trying to run the wrong binary?  (linux vs solaris? -- does anyone use solaris any more?)  If you just type that path at the command prompt, what happens?
Back to top
 
 

If at first you do succeed, STOP, raise your standards, and stop wasting your time.
View Profile WWW   IP Logged
Amit_Analog
New Member
*
Offline



Posts: 4

Re: problem in compling verilog.vams code in cadence
Reply #4 - Sep 30th, 2010, 8:17am
 
Hi Geoffrey,

Thanks for your suggestions. I found out, in fact it is path error for ncvlog. I was still using the old one. Now its working fine. We are using linux. Thanks.

Regards,
Amit
Back to top
 
 
View Profile   IP Logged
Pages: 1
Send Topic Print
Copyright 2002-2024 Designer’s Guide Consulting, Inc. Designer’s Guide® is a registered trademark of Designer’s Guide Consulting, Inc. All rights reserved. Send comments or questions to editor@designers-guide.org. Consider submitting a paper or model.